• 首页 >  信息科技 >  半导体
  • 半导体设备行业专题研究:探讨海外半导体设备长牛底层逻辑看好A股硬科技资产估值修复-240722(35页).pdf

    定制报告-个性化定制-按需专项定制研究报告

    行业报告、薪酬报告

    联系:400-6363-638

  • 《半导体设备行业专题研究:探讨海外半导体设备长牛底层逻辑看好A股硬科技资产估值修复-240722(35页).pdf》由会员分享,可在线阅读,更多相关《半导体设备行业专题研究:探讨海外半导体设备长牛底层逻辑看好A股硬科技资产估值修复-240722(35页).pdf(35页珍藏版)》请在本站上搜索。 1、探讨海外半导体设备长牛底层逻辑,看好A股硬科技资产估值修复请仔细阅读在本报告尾部的重要法律声明请仔细阅读在本报告尾部的重要法律声明仅供机构投资者使用仅供机构投资者使用证券研究报告证券研究报告/行业深度行业深度研究报告研究报告半导体设备专题研究:【华西机械团队华西机械团队】分析师:黄瑞连分析师:黄瑞连SACNOSACNO:S1120524030001S1120524030001邮箱:邮箱:2024年7月22日1核心观点半导体行业复苏+AI产业趋势驱动下,24年海外设备龙头估值持续新高。2023年以来美股科技股资产迎来牛市,SOX指数累计涨幅+130%,其中半导体设备表现出色,优于SOX指数,AM2、AT、LAM、TEL、KLA、ASML股价持续新高,累计涨幅达到+163%/+173%/+146%/+136%/+95%,封装设备和后道测试设备龙头DISCO、爱德万等股价表现更为出色。股价持续走强使得海外半导体设备估值历史新高,整体上看,2024年前道/封装/后道测试设备环节整体PE均值38x/43x/55x,PS均值10 x/11x/9x,背后的底层逻辑主要有:1)全球半导体行业加速复苏,2023年2月全球半导体销售额触底后,连续15个月环比持续改善,进入新一轮上行周期;2)AI驱动全球存储进入史诗级扩产周期,HBM等成为重要增长点,三星、海力士、美光等加速布局,SEMI预计23-27年存3、储设备支出CAGR为29%。3)高算力需求拉动下,AI芯片大厂加速先进封装下单,台积电积极扩充CoWoS产能,24Q2台积电法说会预计2025年依旧供给紧张,产能有望翻倍,2026年后每年复合增速超60%,全球先进封装的产业趋势已经确立。行业高成长性+竞争格局出色,海外半导体设备走出了长牛。中长期维度看,海外半导体设备同样走出了长牛,18-24年AMAT、LAM、TEL、KLA、ASML股价涨幅达到+400%/+523%/+503%/+803%/+501%,封装和后道测试设备龙头DISCO、爱德万涨幅+727%/+1246%,支撑全球半导体硬科技资产长牛的背后逻辑:1)半导体设备除了周期性外,4、受益芯片需求持续扩容,还具有较强的成长性,以两轮周期低点计算,2019-2023年全球半导体设备市场规模从600亿增长至1063亿美元,期间CAGR为15.5%。2)“半导体行业的未来,制造设备是关键”,高技术门槛使得全球半导体设备格局较为出色,以价值量占比较高的光刻机、刻蚀设备、薄膜设备为例,ASML全球光刻机占率约80%,LAM/AMAT/TEL合计全球刻蚀设备、CVD市占率分别为91%、70%。3)极佳的竞争格局加持下,全球半导体设备呈现高盈利水平特质,2023年板块平均净利率达22.3%,ASML、AMAT、KLA、LAM净利率均超过25%,在制造业较为少见。A股半导体设备估值存在低估5、,高成长性有望带来修复。2024年A股主要半导体设备公司PE均值30-40 x,低于海外设备公司的40-55X,且24年A股设备公司业绩大部分高增长,横向对比,A股半导体设备估值存在一定低估。先进制程产业趋势确立+国产替代,A股设备公司估值有望迎来修复:1)大基金三期落地彰显国家解决半导体“卡脖子”决心,先进逻辑+存储将是主要投资方向。此外,以海思等为代表的国内企业也积极布局AI芯片,AI驱动下先进制程扩产的产业趋势已经确立。2)对于中国大陆,先进封装除了受益AI的推动,也是解决制程瓶颈的重要手段,华为陆续公布相关专利,通富微电、盛合晶微等龙头纷纷扩产,先进封装扩产的弹性强于晶圆制造。3)以销6、售口径测算,2023年半导体设备整体国产化率仍不足20%,量测、光刻机等国产化率仅为个位数,国产替代空间大。4)硬科技带来高门槛,国内半导体设备竞争格局逐渐明朗;A股半导体设备毛利率保持在45%,甚至优于海外设备龙头,高盈利特征凸显。投资建议:前道受益标的:北方华创、拓荆科技、中微公司、华海清科、中科飞测、精测电子、芯源微、京仪装备、万业企业、至纯科技、盛美上海等;后道测试设备受益标的:长川科技、华峰测控、金海通;零部件受益标的:正帆科技、富创精密、新莱应材、江丰电子、英杰电气等。风险提示:AI产业发展不及预期、先进封装产业化不及预期、封测行业景气度下滑、设备新品产业化不及预期等。9WfYaY7、fVeZbUbZdXbR9R8OmOmMtRmQlOoOrOiNpNtN8OnMnNvPpNvMxNnRqP2目录半导体行业复苏+AI产业趋势驱动下,海外设备龙头估值持续新高一行业高成长性+竞争格局出色,海外半导体设备走出长牛二A股半导体设备估值存在低估,高成长性有望带来修复三四投资建议与风险提示3-20%0%20%40%60%80%100%120%140%2023/1/32023/3/30 2023/6/27 2023/9/21 2023/12/15 2024/3/14 2024/6/10费城半导体指数标普500日经225印度SENSEX301.1 美国科技股资产牛市,海外半导体设备表现亮眼8、整体上看,2023年以来美国科技股资产走出牛市行情:以衡量美股半导体行情的费城半导体指数(SOX)为例,2023年以来累计涨幅+130%,大幅跑赢全球主流资产。横向比较,SOX相对SP500的超额收益持续拉大,目前已超过80%,是美国股市表现最好的资产。(数据截至2024年7月10日)图:2023年至今全球主流资产收益情况,费半指数领涨0%10%20%30%40%50%60%70%80%90%100%2023/1/32023/3/302023/6/272023/9/212023/12/152024/3/142024/6/10SOX-SP500+130%+46%图:2023年至今费半指数相对标普9、500超额收益扩大至80%+495%163%173%136%146%340%244%100%170%80%0%50%100%150%200%250%300%350%400%1.1 美国科技股资产牛市,海外半导体设备表现亮眼细分来看,海外半导体设备龙头表现出色,整体表现优于SOX指数:我们重点选取ASML、AMAT、LAM等十家海外龙头设备公司,分析设备商涨幅情况,2023年以来平均涨幅+167%,整体跑赢费半指数。进一步分析发现,海外半导体设备板块内部涨幅出现明显分化:其中封装设备商大幅领涨,平均涨幅+229%,DISCO/ONTO累计涨幅分别高达340%/244%,ASMPT为港股上市企业,10、23年以来港股深陷流动性危机叠加经济复苏不及预期,资金面持续收紧,而ASMPT涨幅仍达100%。前道次之,平均涨幅+147%,各家涨幅差异相对较小;后道测试设备平均涨幅+128%,仍有不俗表现。(数据截至2024年7月10日)图:ASML、AMAT、LAM、KLA、TEL等十家龙头公司涨幅情况(截至2024年7月10日)前道+147%测试+128%封装+229%平均涨幅达167%51.2 股价不断上涨,海外半导体设备估值处在历史高位海外半导体设备龙头股价持续新高,驱动相关公司估值持续提升,已经处在历史高位:前道设备:24年整体业绩承压,24年PE均值38倍,PS均值10倍,平均PEG为1.7,11、均处于历史相对高位。前道设备中ASML的PE最高达53倍,PS达15倍,最低的AMAT的PE为29倍,PS为7倍。封装设备:24年业绩受封测行业复苏改善明显,24年PE均值43倍,PS均值11倍,平均PEG为1.5,均处于历史高位。封装设备中DISCO的PE最高达53倍,PS达17倍,最低的ASMPT的PE为31倍,PS为3倍。考虑到港股整体风格同美股相差较大,我们认为ASMPT的估值存在一定低估。后道测试:24年PE均值55倍,PS均值9倍,平均PEG为0.7,均处于历史相对高位。测试机设备中爱德万的PE最高达59倍,PS达9倍,泰瑞达的PE为50倍,PS为9倍。(数据截至2024年7月1012、日;估值计算采用万得一致预期值和彭博一致预期值;涉及年份均为财年概念,非自然年)公司PEPEGPS归母净利润归母净利润增速增速2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E前道设备前道设备ASML5333291.61.00.9151110-7%58%AMAT2925222.62.32.07764%10%LAM3629231.51.21.0987-16%23%KLA3730261.51.21.012109-19%35%TEL3627231.41.10.986527%30%前道设备平均估值前道设备平均估值3829213、51.71.31.21087-2%31%封装封装DISCO5343352.42.01.617151353%22%ONTO4635251.41.10.81210839%37%ASMPT3117140.60.30.332288%81%封装设备平均估值封装设备平均估值4332241.51.10.9119860%47%后道后道测试机测试机爱德万爱德万5936311.60.90.898730%62%泰瑞达泰瑞达5032251.30.80.69764%53%后道测试平均估值后道测试平均估值5534281.40.90.798717%58%图:海外设备龙头24年对应PE平均在40-55x,PEG1,PS为1014、 x,处于历史高位61.3 行业复苏+AI产业趋势共同驱动了海外半导体设备牛市行情我们认为海外半导体设备牛市行情背后的底层逻辑主要有两点:半导体行业加速复苏+AI产业趋势。(数据截至2024年7月10日;标的选择中剔除ASMPT、ADVANTEST和泰瑞达,主要系其股价过低难以叠加画图)501001502002503003504004502023-01-032023-03-302023-06-272023-09-212023-12-152024-03-142024-06-10应用材料(APPLIED MATERIAL)东京电子DISCOONTO INNOVATION3504505506507515、0850950105011501250阿斯麦拉姆研究(LAM RESEARCH)科天半导体(KLA)2023.1-2023.5基本面仍处于淡季,但市场预期景气度有望触底回升Chatgpt引发AI产业新趋势板块在低估值下提前上涨2023.6-2024.15月半导体行业结束下行,重回上升周期,但边际变化不明显英伟达业绩连续超预期,AI产业趋势得到验证,进而带动板块上涨估值进一步修复行业景气度指标进一步转好:2024年以来半导体销售数据同环比呈现加速修复,复苏逻辑不断得到验证。产业趋势预期强化:AI产业趋势驱动下,HBM等存储进入新的一轮扩产周期,设备直接受益。2024.2-至今71.3.1 全球半16、导体行业景气拐点确认,2024年进入新一轮上行周期逻辑一:全球半导体行业景气拐点确认,2024年进入新一轮上行周期:(1)从全球范围内来看,2023年2月全球半导体单月销售额触底,为3970亿美元,同比-21%,此后连续15个月出现环比改善,拐点信号明显;2024年5月全球销售额为4915亿美元,同比+19%,环比+4%,整体复苏呈现加速态势。后续伴随下游需求市场回暖以及去库存结束进入补库周期,全球半导体行业有望进入新一轮上升周期。(2)作为主要消费国,中国大陆同样呈现复苏迹象,自2023年3月以来同样连续15个月环比为正,考虑到中国市场下游新能源等领域发展较快,看好中国半导体市场加速复苏弹性17、。-30%-20%-10%0%10%20%30%40%01020304050602021-01 2021-06 2021-11 2022-04 2022-09 2023-02 2023-07 2023-12 2024-05全球半导体销售额(十亿美元)当月同比图:全球半导体销售额连续15个月环比改善,景气拐点进一步确认图:中国大陆半导体销售额连续15个月环比为正-40%-30%-20%-10%0%10%20%30%40%024681012141618202021-01 2021-06 2021-11 2022-04 2022-09 2023-02 2023-07 2023-12 2024-05中18、国半导体销售额(十亿美元)当月同比81.3.2 AI驱动下对HBM需求提升,全球存储进入扩产上升周期逻辑二:AI驱动下对HBM需求提升,全球存储进入扩产上升周期(1)AI对内存带宽的要求大幅提升,HBM技术优势快速放大:GPU对大规模并行计算的速率要求在持续提升,但计算过程本身需要算力、存力、运力三者同时匹配,通常存储的读取速度和计算的处理速度之间存在一定时间差,HBM就是为提高传输速率和存储容量应运而生的重要技术路线。相较传统DDR、GDDR内存,HBM传输速度明显提升,同时具备更低功耗和更小尺寸。(2)高算力GPU陆续推出,高性能HBM需求增长有望提速:英伟达:H100供不应求背景下,2019、23年11月推出全新GPU平台NVIDIAHGXH200,采用更加先进的HBM3或HBM3e;AMD:2023年12月发布MI300X,包含8组HBM3,AI性能约为H100的1.3倍。受益于英伟达、AMD等高算力GPU放量,HBM3及更高端系列产品需求将快速放量。图:HBM综合性能明显优于DDR和GDDR图:英伟达GH200采用HBM3或者HBM3e91.3.2 AI驱动下对HBM需求提升,全球存储进入扩产上升周期(3)三星、海力士、美光加速布局,HBM进入扩产浪潮:根据集邦咨询,HBM市场主要由海力士、三星、美光三家存储龙头主导,2023年市场占比分别为53%、38%、9%。海力士:根据华20、尔街见闻,公司称计划到2028年投资103万亿韩元(约合748亿美元),其中80%(约为600亿美元)将用于HBM芯片的研发和生产。根据首尔经济新闻报道和公司官网,海力士于2024年3月开始大规模量产HBM3E芯片,并将下一代HBM4芯片的量产时间提前到2025年。三星:根据三星在CES 2024上表示,公司近两年HBM产能已售馨,已将超过20%的DRAM产线转换为HBM产线,预计2026年HBM的出货量将是2023年的13.8倍;2028年HBM的年产量将增至2023年的23.1倍。美光:2023年11月在台中开设新工厂,致力于大规模生产HBM3E等产品,计划2024年初开始大规模出货HBM21、3E。台积电将加入HBM4竞争市场:根据爱集微官网,台积电将使用其12FFC+(12nm级)和N5(5nm级)制程工艺制造HBM4接口芯片。根据科创板日报,台积电2024年资本支出或达到上限值320亿美元,2025年有望进一步升至370亿美元,主要用于提前部署2nm工艺量产,采购先进设备。图:2023年海力士在全球HBM市场占比超50%图:预计HBM占DRAM产业产值比重快速提升(单位:百万美元)50%40%10%53%38%9%0%10%20%30%40%50%60%SK海力士三星美光20222023202220232024EHBM营收占比3%8%20%DRAM产业总营收800875186322、84150101.3.2 AI驱动下对HBM需求提升,全球存储进入扩产上升周期根据SMEI数据,AI驱动下全球存储扩产势头迅猛,2023-2027年存储设备支出CAGR约20%,而同期晶圆代工的CAGR为7.6%,显著低于存储扩产增速。其中DRAM设备支出预计2027年达到252亿美元,23-27年CAGR为17.4%;而3D NAND设备投资预计2027年达到168亿美元,23-27年CAGR为29%。可见AI驱动下,全球存储进入新的扩产上升周期。图:2023-2027年DRAM、NAND是存储扩产主要驱动力20.0%17.4%29.0%7.6%0%5%10%15%20%25%30%35%存23、储DRAM3D NAND晶圆代工2023-2027年设备资本开支CAGR111.4 CoWoS等先进封装扩产迅猛,封装设备股价强于前道核心逻辑上文我们已经做过分析,一方面AI驱动下,三星、海力士、美光加速布局,HBM有望迎来扩产高峰;另一方面高算力需求拉动下,AI芯片大厂加速先进封装下单,台积电积极扩充CoWoS产能。2023年底台积电CoWoS的月产能仅为1.5万片晶圆,相较于前道晶圆制造,台积电先进封装产能较为有限,已成为客户扩产的一大约束因素。受益于AI、HPC等领域旺盛需求,台积电正在修改InFO(集成扇出型)的部分设备,以支持CoWoS生产,根据光纤在线报道,台积电2024Q1月产能24、有望达到1.7万片晶圆。此外,台积电还为CoWoS生产分配更多晶圆厂产能,2024年CoWoS封装的月产能有望逐季增加;根据半导体业界消息透露,台积电最终或可达到3-3.4万片晶圆。24Q2台积电业绩会称预计2025年依旧供给紧张,产能有望翻倍,2026年后每年复合增速超60%。往后来看,CoWoS扩产弹性快速放量,有望成为2.5D封装领域重要增长分支。图:CoWoS为连接SoC芯片和HBM的核心工艺图:2023-2025年台积电CoWoS收入CAGR约29%53.254.773.891.10%30%60%010203040506070809010020222023E2024E2025ECoW25、oS封装产品收入(亿美元)同比(%)121.4 CoWoS等先进封装扩产迅猛,封装设备股价强于前道核心逻辑对于传统的后道封装设备类别,先进封装增量主要体现在技术路线迭代、技术指标升级等维度,有望带动相关设备需求提升。1)划片设备:刀轮切割仍为主流技术路线,先进封装背景下激光渗透率有望快速提升;2)键合设备:仍以引线键合为主,先进封装由wire bond变为die bond,带动倒装键合、晶圆键合需求快速放量;3)固晶设备:先进封装对于贴片的精度、效率提出更高技术要求;4)塑封/切筋成型设备:压塑封装工艺适用于大面积封装,在晶圆级封装领域应用潜力较大。5)减薄设备:受益于3D封装需求放量&堆叠层26、数持续增加,减薄设备需求有望进一步实现量价齐升;6)电镀设备:凸块、RDL、TSV等工艺催生新的高技术指标电镀需求。日本DISCO垄断全球70%以上的划片机和研磨机市场,自2023Q2以来公司持续受益先进封装扩产,先进封装的产业趋势确立,这也是本轮DISCO是股价强于其他前道设备最重要底层逻辑。图:晶圆背面减薄流程示意图图:芯片间的键合技术逐步由倒装焊向热压键合、混合键合迭代13目录半导体行业复苏+AI产业趋势驱动下,海外设备龙头估值持续新高一行业高成长性+竞争格局出色,海外半导体设备走出长牛二A股半导体设备估值存在低估,高成长性有望带来修复三四投资建议与风险提示14349%109%541%427、00%523%803%503%727%808%29%1246%274%0%200%400%600%800%1000%1200%1400%2.1 中长期维度看,海外半导体设备同样走出了长牛整体上看,2018年以来海外半导体设备龙头平均涨幅+585%,大幅跑赢大盘,中长维度看同样表现极为出色,进一步细分看:前道设备环节,ASML、KLA、LAM、TEL涨幅超过500%,其中KLA涨幅803%,表现最弱的AMAT涨幅也高达400%;封装设备环节,DISCO、ONTO涨幅分别达到727%,808%,表现好于前道设备;后道测试设备环节,爱德万、泰瑞达涨幅分别为1246%、274%。不难发现,2018-228、024年海外半导体设备龙头股价妥妥成长股的走势,我们认为背后核心原因:一方面,半导体行业除了有周期性,还有较好的成长性;另一方面,半导体设备门槛高格局优,天然高盈利特征。(数据截至2024年7月15日)图:ASML、AMAT、LAM等十家龙头公司较2018年初涨幅情况平均涨幅达585%前道+554%152.2 19-23年全球设备市场CAGR为15.5%,具备极强成长性半导体行业尽管存在周期性波动,但整体市场规模稳步扩容。数码产业蓬勃发展,已成为全球经济发展的重要引擎,人工智能、智能驾驶等技术不断爆发,使得芯片需求不断扩容。上一轮全球半导体行业周期为2019年6月-2023年3月,持续4年左右29、,期间全球半导体市场规模CAGR为6.3%,中长期呈现稳步增长的态势。半导体设备行业成长性更强,2019-2023年全球市场规模CAGR为15.5%。半导体设备作为集成电路和泛半导体微观器件产业的基石,随着技术节点提升,呈现量价齐升特点,具有更强的成长性,2019-2023全球半导体设备市场规模CAGR为15.5%,远高于半导体行业,两轮低点间规模从近600亿美元快速增长至1063亿美元,同比+80%,行业极高成长性支持设备公司快速发展。图:同期全球半导体设备销售额CAGR为15.5%,增速高于行业6455987121026107610631090-10%0%10%20%30%40%50%0230、00400600800100012002018201920202021202220232024E销售额(亿美元)YOY图:2019-2023年全球半导体销售额CAGR为6.3%,呈现稳步增长态势4771412343315559580152696112-20%-10%0%10%20%30%40%010002000300040005000600070002018201920202021202220232024E市场规模(亿美元)YOY162.3 全球半导体设备格局极佳,行业整体盈利水平出色半导体设备是数码产业发展最卡脖子的关键,“半导体行业的未来,制造设备是关键”,高技术门槛使得全球半导体设备格局31、较为出色,龙头占据主要的市场份额。资料来源:华经产业研究院,中商产业研究院,前瞻产业研究院,华西证券研究所表:全球半导体设备细分赛道竞争格局一览表,海外龙头强势垄断众多赛道设备类型设备类型(年份)(年份)美国美国日本日本荷兰荷兰公司名称公司名称全球市占率全球市占率合计合计公司名称公司名称全球市占率全球市占率合计合计公司名称公司名称全球市占率全球市占率合计合计刻蚀(刻蚀(2022)Lam47%64%东京电子27%27%应用材料17%光刻机(光刻机(2022)尼康10%18%阿斯麦82%82%佳能8%Track(2019)东京电子89%89%CVD(2020)应用材料28%53.0%东京电子17%32、17%先晶半导体11%11%Lam25%PVD(2020)应用材料87%87%ALD(2020)Lam10%13%东京电子29%29%先晶半导体46%46%应用材料3%清洗(清洗(2020)泛林13%13%迪恩士46%66%SEMES15%15%东京电子20%CMP(2023)应用材料64%64%日本荏原29%31%KC Tech4%4%东京精密2%热处理(热处理(2022)应用材料46%46%东京电子21%36%日立国际电气15%离子注入(离子注入(2020)应用材料70%90%亚舍立20%量测检测(量测检测(2020)科磊51%63%日立9%9%阿斯麦5%5%应用材料12%172.3 全球33、半导体设备格局极佳,行业整体盈利水平出色极佳的竞争格局,高技术门槛加持下,使得半导体设备行业盈利水平出众:2023年海外半导体设备公司平均净利率达22.3%,较18年有一定提升,如此出众且稳定出色的盈利水平放在制造业较为少见,哪怕对比美国其他行业龙头,大幅高于全球工程机械龙一卡特彼勒、石油巨头埃克森美孚等。表:2018年以来海外设备龙头净利率与苹果、卡特皮勒、埃克森美孚对比(按降序排列)2018201920202021202220232024Q1KLA19.9%25.7%20.9%30.0%36.1%32.3%30.9%DISCO22.2%19.6%19.6%21.4%26.1%29.2%2334、.5%ASML23.7%21.9%25.4%31.6%26.6%28.4%24.3%LAM21.5%22.7%22.4%26.7%26.7%25.9%25.5%AMAT19.2%18.5%21.0%25.5%25.3%25.9%30.1%爱德万爱德万8.7%20.2%19.4%22.3%20.9%23.3%9.1%TEL18.1%19.4%16.4%17.4%21.8%21.3%16.4%泰瑞达泰瑞达21.5%20.4%25.1%27.4%22.7%16.8%10.7%ONTO17.8%0.6%5.6%18.0%22.2%14.9%20.5%ASMPT11.3%3.9%9.6%14.4%13.35、4%4.8%5.5%净利率均值净利率均值18.4%17.3%18.6%23.5%24.2%22.3%19.7%苹果苹果22.4%21.2%20.9%25.9%25.3%25.3%27.4%卡特彼勒卡特彼勒11.2%11.3%7.2%12.7%11.3%15.4%18.1%埃克森美孚埃克森美孚7.5%5.7%-12.9%8.3%14.0%11.0%10.4%18目录行业复苏+AI产业趋势驱动牛市行情,全球设备龙头估值持续提升一全球设备龙头长期走牛,格局优异与盈利水平出色给予高估值二A股半导体设备估值存在低估,高成长性有望带来修复三四投资建议与风险提示193.1 对比海外设备龙头,A股半导体设备估36、值存在修复空间PE角度看,海外公司24年估值平均40-55X,而A股主要设备公司估值平均仅30-40 x,且A股设备公司24年业绩大部分高增长。ASML、AMAT、LAM、KLA、TEL、DISCO等24年对应PE分别达53x、29x、36x、37x、36x、53x,而北方华创、华海清科仅有23x,盛美上海、长川科技仅有26x,估值优势较为明显,具备修复空间,精测电子、拓荆科技、中科飞测、芯源微等因短期研发投入较高一定压制了盈利水平,但后续利润端成长性更高。PEG角度看,24-26年海外龙头显著高于A股公司(均大于1)。考虑到大部分前道设备全球格局基本形成,龙头业绩弹性有限,仅匹配行业增速;相37、反国内公司受益于自主可控驱动本土扩产,国产替代导入加速,业绩增长动力充足。PS角度看,24-26年海外龙头PS均值约10 x、8x、7x,而国内公司仅有8x、6x、5x,显著低于海外估值水平。(估值计算均采用万得一致预测值和彭博一致预测值,总市值截至2024年7月15日,均值计算剔除至纯科技和万业企业)公司公司PEPEGPS归母净利润归母净利润增速增速2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E 2026E2024E 2025E北方华创北方华创3023180.60.70.764447%34%中微公司中微公司4333263.21.10.8108638、13%29%拓荆科技拓荆科技4029221.60.80.786525%38%华海清科华海清科3023180.80.70.897539%31%芯源微芯源微3726211.10.70.854335%39%盛美上海盛美上海3426201.40.80.776525%33%京仪装备京仪装备3728240.70.91.475456%32%精测电子精测电子6344330.81.11.065478%40%中科飞测中科飞测8555372.11.00.8139740%55%至纯科技至纯科技1612110.40.40.622142%28%长川科技长川科技4026200.00.50.67541069%54%华峰测控华39、峰测控4031251.21.10.915131134%27%万业企业万业企业5443342.61.61.387621%27%A股设备公司股设备公司平均估值平均估值4431241.20.90.886547%37%海外公司海外公司平均估值平均估值前道前道3829251.71.31.21087-2%31%封装封装4332241.51.10.9119860%47%测试测试5534281.40.90.798717%58%表:A股设备公司25年PE在25-30倍,PS仅有6倍,相比海外具有明显估值优势203.1 对比海外设备龙头,A股半导体设备估值存在修复空间(估值计算均采用万得一致预测值和彭博一致预测值40、,总市值截至2024年7月15日,均值计算剔除至纯科技和万业企业)表:海外及A股半导体设备公司2024-2026年对应PE、PEG、PS情况总览表海外海外PEPEGPS归母净利润归母净利润增速增速2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025E 2026E 2024E 2025EASML5333291.61.00.9151110-7%58%AMAT2925222.62.32.07764%10%LAM3629231.51.21.0987-16%23%KLA3730261.51.21.012109-19%35%TEL3627231.41.10.986541、27%30%前道前道3829251.71.31.21087-2%31%DISCO5343352.42.01.617151353%22%ONTO4635251.41.10.81210839%37%ASMPT3117140.60.30.332288%81%封装封装4332241.51.10.9119860%47%爱德万爱德万5936311.60.90.898730%62%泰瑞达泰瑞达5032251.30.80.69764%53%测试测试5534281.40.90.798717%58%A股股PEPEGPS归母净利润归母净利润增速增速2024E 2025E 2026E 2024E 2025E 202642、E 2024E 2025E 2026E 2024E 2025E北方华创北方华创3023180.60.70.764447%34%中微公司中微公司4333263.21.10.8108613%29%拓荆科技拓荆科技4029221.60.80.786525%38%华海清科华海清科3023180.80.70.897539%31%芯源微芯源微3726211.10.70.854335%39%盛美上海盛美上海3426201.40.80.776525%33%京仪装备京仪装备3728240.70.91.475456%32%精测电子精测电子6344330.81.11.065478%40%中科飞测中科飞测85553743、2.11.00.8139740%55%至纯科技至纯科技1612110.40.40.622142%28%长川科技长川科技4026200.00.50.67541069%54%华峰测控华峰测控4031251.21.10.915131134%27%万业企业万业企业5443342.61.61.387621%27%平均估值水平平均估值水平4431241.20.90.886547%37%213.2.1 修复条件一:先进制程扩产趋势确立,行业扩产增速强于海外大基金三期落地,利好晶圆制造环节。2024年5月,国家半导体大基金三期成立,注册资本3440亿元。基金募集规模持续提升,2014年一期987亿元,201944、年二期2042亿元,2024年大基金三期3440亿元(相比市场预期3000亿略有提升),反映出国家层面解决半导体卡脖子的决心。我们一直强调自主可控核心是芯片安全,最终落脚到先进逻辑+存储扩产,梳理企查查数据发现:大基金一期晶圆制造投资占比67%,而大基金二期仅对中芯国际、长鑫、长存、华虹等本土头部晶圆厂投资金额就超过900亿元,可见晶圆制造仍是主要的投资去向,大基金三期投资的主要流向仍为晶圆制造环节。13872042344005001000150020002500300035004000一期二期三期投资额(亿元)图:大基金一期到三期募集规模快速提升晶圆制造被投资企业名称认缴出资额/持股数中芯国45、际集成电路制造有限公司127,458,120股长鑫新桥存储技术有限公司1456027.955737万元人民币长江存储科技控股有限责任公司1288673.4904万元人民币长鑫集电(北京)存储技术有限公司1254691.08万元人民币中芯南方集成电路制造有限公司150000万美元中芯京城集成电路制造(北京)有限公司122450万美元华虹半导体制造(无锡)有限公司116580万美元中芯东方集成电路制造有限公司92200万美元长鑫科技集团股份有限公司525607.3841万元人民币中芯国际集成电路制造(深圳)有限公司53130万美元上海华力微电子有限公司290762.6929万元人民币华虹半导体(无46、锡)有限公司21368.70222万美元合计超过900亿元人民币图:大基金二期头部晶圆厂投资超过900亿223.2.1 修复条件一:先进制程扩产趋势确立,行业扩产增速强于海外短期看,受海外制裁等影响,2023年国内一线Fab厂暂未看到大规模招标启动,2024年扩产向上趋势明显。(1)逻辑端:中芯国际仍为扩产主力,2023年资本开支达到75亿美元,同比+18%,并预计2024年基本持平,维持高位。2024年4月,上海华力康桥二期产线启动,上海建工四建和信息产业第十一设计院共同参与厂房及配套设施建设项目,中标价为98.81亿元,扩产规模庞大。其他头部fab已经出现积极变化,南方、华虹均释放了乐观的47、扩产信号。2)存储端:2023年一线存储大厂扩产力度受到明显影响,长存、长鑫陆续增资背景下,叠加设备与工艺技术端进步,我们预计2024年存储端扩产需求有望快速起量。图表:中芯国际持续大规模扩产,已成为中国大陆晶圆代工扩产主力-50%-20%10%40%70%100%130%160%190%220%010203040506070802018201920202021202220232024E资本性支出(亿美元)同比(%)图:2023年中芯国际资本开支同比+18%厂商厂商实施主体实施主体工厂代码工厂代码尺寸类型尺寸类型项目地点项目地点2021年底产能2021年底产能(万片/月)(万片/月)规划产能规48、划产能(万片/月)(万片/月)状态状态中芯南方SN112寸上海1.53.5建成中芯南方SN212寸上海03.5在建中芯北方B1(Fab4、6)12寸北京5.26建成中芯北方B212寸北京6.210建成中芯京城B3P112寸北京05在建中芯京城B3P212寸北京05计划中芯深圳Fab16A/B12寸深圳04建成中芯西青12寸天津010在建中芯东方12寸上海临港010计划中芯国际233.2.1 修复条件一:先进制程扩产趋势确立,行业扩产增速强于海外 图:随着技术节点升级,设备投资额大幅提升图:2023年台积电晶圆代工市场份额达66%先进制程产能仍是中国大陆目前极为短缺的,将是主要扩产对象。根据芯思49、想披露数据,2023年全球晶圆代工(不含IDM)市场中,台积电份额达到66%,中芯国际和华虹合计份额虽已经达到9.6%,但台积电依靠自身在先进制程的优势,2019-2024Q1主力创收制程从16nm到7nm再到5nm,最新的3nm从23Q3开始贡献收入。而华虹收入主要来自成熟制程,0.11m及以上制程仍占据最大收入占比(60%+)。储存端同样如此,以中国大陆占全球三分之一需求去看,国内存储扩产的空间还有很大,先进存储且具备持续扩产能力。技术节点提升,将大幅提升资本开支。根据IBS统计,以28纳米技术节点为例,每万片的晶圆产能设备投资额7.9亿美元,技术节点达到14nm/7nm,每万片的晶圆产能50、设备投资额12.54/22.84亿美元,可见随着晶圆厂技术节点不断升级,晶圆扩产带来的设备资本开支大幅提升,利好设备公司。2024Q1半导体刻蚀龙头、薄膜沉积龙头、CMP龙头订单构成中,第一大客户均来自先进存储客户,证明了先进制程已经成为大陆晶圆厂扩产的产业趋势。24图:我国从荷兰进口光刻机均价提升明显图:2023年5月起我国从荷兰进口光刻机金额快速增长 特别地,荷兰出口管制影响下,中国大陆对于高端光刻机进口加速,为其他前道设备招标奠定基础。1)从进口金额看,2023年累计进口荷兰光刻机金额72.30亿美元,同比+184%,2024年1-6月进口累计金额39.85亿美元,同比+103%,延续高51、速增长势头。2)从设备数量和单价来看,2023年5月份以来我国对荷兰光刻机进口量价齐升,2023年全年对荷兰光刻机进口225台,设备均价3213万美元,分别同比+53%和+85%。2024年1-6月光刻机单价3321万美元,同比+46%,我国对荷兰光刻机进口均价维持在高位,我们推测主要系部分高端浸没式DUV光刻机仍有明显占比。我们认为光刻机进口维持高位,对后续扩产的持续有较强的指引。3.2.1 修复条件一:先进制程扩产趋势确立,同样受益于AI产业趋势-50%50%150%250%350%450%550%650%750%850%950%1050%020040060080010001200140052、从荷兰进口光刻机金额(百万美元)同比增长(%)-50%50%150%250%350%450%0100020003000400050006000从荷兰进口光刻机单价(万美元)同比增长(%)253.2.1 修复条件一:先进制程扩产趋势确立,同样受益于AI产业趋势 中国大陆Al芯片市场规模持续扩张,不会缺席新的一轮扩产浪潮。国内以海思等为代表的企业也积极布局AI相关芯片,根据中商产业研究院数据,2019年我国AI芯片市场规模约为116亿元,2024年有望达到1412亿元,期间CAGR约65%,国内Al行业持续快速发展。在存储领域,长江存储作为大陆3D NAND闪存龙头,2020年第三代TLC/QLC53、两款产品研发成功,其中X2-6070型号作为首款第三代QLC闪存,拥有发布之时业界最高的I/O速度,最高的存储密度和最高的单颗容量;长鑫存储2023年正式推出LPDDR5系列产品,是国内首家推出自主研发生产的LPDDR5产品的品牌,我们认为都将受益于AI应用需求,AI驱动下中国大陆存储不会缺席全球扩产浪潮。-50%-20%10%40%70%100%130%160%02004006008001000120014001600201920202021202220232024E我国AI芯片市场规模(亿元)同比(%)图:2024年我国AI芯片市场规模将达1412亿元,期间CAGR65%企业名称企业布局华54、为海思从中低端到高端,一共推出了17款麒麟系列人工智能芯片,在2014年推出910,开启了麒麟系列芯片的更迭。2015年发布麒麟950,2017年发布麒麟970,2019年发布麒麟990,2020年发布麒麟9000,每一代的麒麟系列更迭都是海思芯片设计技术的里程碑。寒武纪已研发推出覆盖终端(1A处理器、1H处理器、1M处理器)、边缘端(思元220芯片及MLU220-M.2.MLU220-SOM)、云端(思元100芯片及MLU100、思元270芯片及MLU270-S4/F4,思元290芯片及MLU290-M5,MLU-X100,思元370芯片及MLU370-S4/X4/X8)的智能芯片及加速卡产55、品。地平线大多数产品服务于汽车智能化,如征程系列的芯片及Matrix计算平台。四维图新AI芯片业务主要是芯片设计,主要芯片产品为M(信息娱乐导航)芯片、MCU(车身控制)芯片、音频功放芯片及胎压芯片。北京君正AI芯片业务主要布局在智能视频芯片方面,拥有CPU、VPU、ISP、AI加速器和AI算法等技术。图:中国大陆AI芯片企业加速布局26 国内封测厂商同样积极布局先进封装领域,华为入局有望成为先进封装产业化重要推动者。OAST企业在先进封装领域已具备较强市场竞争力,长电科技、通威富电等均掌握先进封装核心技术。根据国家知识产权局公开信息,2022-2023年华为陆续公布15条芯片堆叠封装相关发明56、专利,显示了华为在先进封装领域的投入力度和创新能力。在不提升制程工艺前提下,芯片堆叠可以提升芯片产品性能,我们认为一定程度上可以缓解先进制程卡脖子问题,并进一步带动先进封装需求放量。此外,作为国产存储IDM龙头,长存、长鑫同样积极布局先进封装领域,有望成为后续行业发展重要推进者。图表:2022年以来华为公告了多项芯片堆叠封装专利申请公布号申请公布号申请公布日申请公布日专利名称专利名称申请人申请人CN116745913A2023.09.12芯片堆叠结构及其制作方法、芯片封装结构及电子设备华为技术有限公司CN116724389A2023.09.08芯片堆叠结构及其制备方法、芯片堆叠封装、电子设备华57、为技术有限公司CN116670827A2023.08.29 包含有垂直柱状晶体管的芯片堆叠结构华为技术有限公司CN116635996A2023.08.22芯片堆叠结构及其制作方法、芯片封装结构、电子设备华为技术有限公司CN116504752A2023.07.28芯片堆叠结构及其形成方法、芯片封装结构、电子设备华为技术有限公司CN116110879A2023.05.12用于高速信号传输的芯片及芯片堆叠结构华为技术有限公司CN115461858A2022.12.09多芯片堆叠封装、电子设备及制备方法华为技术有限公司CN115210854A2022.10.18芯片堆叠结构、制作方法及电子设备华为技术58、有限公司CN115004355A2022.09.02存储芯片堆叠封装及电子设备华为技术有限公司CN114762103A2022.07.15一种芯片堆叠结构及其制作方法华为技术有限公司CN114651322A2022.06.21芯片堆叠封装结构、电子设备华为技术有限公司CN114631179A2022.06.14芯片堆叠封装结构及其封装方法、电子设备华为技术有限公司CN114450785A2022.05.06一种多芯片堆叠封装及制作方法华为技术有限公司CN114450786A2022.05.06芯片堆叠封装结构及其封装方法、电子设备华为技术有限公司CN114287057A2022.04.05一种59、芯片堆叠封装及终端设备华为技术有限公司表:2024年以来行业利好催化不断,景气度火热时间事件5月16日通富微电先进封装项目顺利签约5月18日华天科技签约盘古半导体先进封测项目,总投资额达30亿元5月18日盛合晶微超高密度互联三维多芯片集成封装项目暨J2C厂房开工6月30日长鑫金桥扩产,固定资产投资预计不少于171.41亿,拟建设高端封测存储芯片产能3万片/月。3.2.2 修复条件二:本土龙头纷纷入局,先进封装产业逻辑同样确立27整体来看,半导体设备国产化率仍处于低位。仅统计上市公司,收入口径下,2023年12家半导体设备上市企业合计实现营收490亿元,对应半导体设备市场整体国产化率仍不足20%60、。细分领域来看,国产半导体设备企业在清洗、CMP、刻蚀设备等领域已取得一定市场份额,国产化率超过20%。然而,对于光刻、量/检测、涂胶显影、离子注入设备等领域,我们预估国产化率仍低于10%,国产替代空间较大,本土设备商市占率仍有望持续快速提升。3.2.3 修复条件三:半导体设备国产化率仍处于低位,国产替代空间较大 表:半导体设备各环节国产率情况图:本土半导体设备公司整体国产化率仍不足20%价值占比价值占比2023年国内市场年国内市场规模规模(亿美元)亿美元)国内相关企业国内相关企业2023年上市公司收年上市公司收入量级(亿元)入量级(亿元)国产化率国产化率刻蚀设备22%81北方华创、中微公司等61、11020%光刻设备22%81上海微电子等-1%薄膜沉积22%81北方华创、拓荆科技、中微公司、微导纳米9020%量检测设备12%44中科飞测、精测电子、睿励科学、天准科技等1530%track4%15芯源微等1030%离子注入3%11万业企业、中科信等510%其他5%18-16.07%11.32%18.11%19.13%-50%-20%10%40%0100200300400500600202020212022202312家设备企业营业收入(亿元)国产化率28美有意加大对华半导体制裁,“去日化”预期下加速国产替代。2024年7月17日,据彭博社消息,拜登政府表示若东京电子和ASML等公司继续向62、中国提供先进的半导体设备,或将面临最严厉的贸易限制。此前美国的限制主要影响美国本土设备商,第三国实际执行力度不强。在中国大陆强劲的扩产需求下,日本对华销售额实际不断增长,设备龙头东京电子来自中国大陆的营收环比不断增长,收入占比已达历史新高50%。若日本选择跟随美国,收紧对我国半导体设备的出口,国产替代进程有望加快,尤其是在涂胶显影、薄膜沉积、刻蚀、清洗以及量/检测等日企传统占据优势的细分方向。3.2.3 修复条件三:美对华半导体管制不断加码,国产替代进程进一步加快 表:日本半导体设备龙头公司全球市场份额统计图:美国制裁下,TEL来自中国区收入激增,已成为全球第一大客户94.1168.6102.63、8131.3153.9182.9217.2259.10%5%10%15%20%25%30%35%40%45%50%050100150200250300FY23Q1 FY23Q2 FY23Q3 FY23Q4 FY24Q1 FY24Q2 FY24Q3 FY24Q4中国大陆营收(十亿日元)中国大陆营收占比设备类型设备类型公司名称公司名称市占率市占率合计合计涂胶显影涂胶显影迪恩士DNS5.0%92.0%东京电子88.0%清洗清洗迪恩士DNS50.0%77.0%东京电子27.0%热处理热处理东京电子19.00%38.0%科意半导体19.00%刻蚀刻蚀东京电子26.6%30.0%日立高新3.5%CVD东京64、电子17.0%25.0%科意半导体8.0%光刻机光刻机尼康7.7%17.9%佳能10.2%29不同于光伏PERC、HJT等新技术迭代带来新玩家的弯道超车,半导体设备的技术迭代路径是从28nm到14nm再到7nm,很难实现技术节点跳跃发展。光伏路线之争,究其本质是因为技术并非同源,各家押注不同赛道,过去的技术积累对于新路线意义不大;而半导体工艺制程技术高度同源,不断迭代的过程使得先发者积累宝贵的Know-how,良率的提升也来自于此。资金+人才密集构筑高行业门槛,先发龙头强者恒强。产品的不断突破,需要持续不断的高强度研发。从研发费用率来看,2023年半导体设备行业研发费用率为15.3%,显著高于65、通用及光伏设备制造业,充分反映了行业研发投入大、资本门槛高;从研发人员占比来看,半导体设备行业研发人员高达32.2%,同样明显高于其他行业,说明人才是半导体设备公司核心竞争力,以此满足技术迭代及市场需求变化。资金&人才形成了巨大的进入壁垒,龙头企业先发优势显著,技术领先不断滚雪球形成强大护城河。3.2.4 修复条件四:高技术门槛得到认知,高盈利水平逐步兑现 图:从研发费用率&研发人员占比两个维度横向比较其他行业,半导体设备行业是典型的资金+人才密集行业图:PERC-TOPCon-HJT之间工艺路线并非同源0PERCTOPConHJT电池效率23.50%24.5%25.0%25.5%25%26.66、5%27%钙钛矿叠层复杂度复杂;现有工艺路线大幅变更复杂;现有工艺路线大幅变更简单:简单:在现有基础工在现有基础工艺叠加即可艺叠加即可电池工艺步骤11125(常规)13.4%31.3%32.2%18.4%17.5%4.0%18.6%15.25%6.75%4.89%0%5%10%15%20%25%30%35%上证主板科创板半导体设备行业通用设备行业光伏设备行业2023年研发人员占比(%)2023年研发费用率(%)30高盈利水平特征在A股同样有所体现,且优于海外设备公司毛利率:目前A股半导体设备公司营收体量较小,且还处于高投入期,当前净利率并未达到稳定状态,因此以毛利率为例:2020-2023年A67、股半导体设备毛利率保持在45,显著高于光伏设备的37%和通用设备的26%,验证了其行业高技术壁垒、出色盈利水平的行业特征。优于海外半导体设备公司,具体看,拓荆科技、华海清科、中微公司毛利率分别达到51%、46%、45.8%,优于AMAT、LAM、TEL等海外设备公司(除KLA外)毛利率,我们推测主要系人力成本、原材料成本等优势。我们判断随着后续收入规模不断兑现,规模效应下A股设备龙头净利率有望快速提升,同样超过海外设备龙头净利率。3.2.4 修复条件四:高技术门槛得到认知,高盈利水平逐步兑现 图:部分国内设备龙头毛利率优于海外设备龙头毛利率图:A股半导体设备毛利率显著高于其他设备制造业20%268、5%30%35%40%45%50%2020202120222023半导体设备SW光伏设备SW通用设备46.7%44.6%59.8%44.6%41.1%45.8%46.0%51.0%0%10%20%30%40%50%60%70%应用材料LAMKLA东京电子北方华创中微公司华海清科拓荆科技2023年2024Q131目录行业复苏+AI产业趋势驱动牛市行情,全球设备龙头估值持续提升一行业高成长性+竞争格局出色,海外半导体设备走出了长牛二对A股半导体设备估值存在低估,高成长性有望带来修复三四投资建议与风险提示324.投资建议与风险提示:投资建议:大陆先进制程扩产确立,叠加国产替代,看好相关投资机会,前道69、受益标的:北方华创、拓荆科技、中微公司、华海清科、中科飞测、精测电子、芯源微、京仪装备、万业企业、至纯科技、盛美上海等;后道测试设备受益标的:长川科技、华峰测控、金海通;零部件受益标的:正帆科技、富创精密、新莱应材、江丰电子、英杰电气等。风险提示:AI产业发展不及预期、先进封装产业化不及预期、封测行业景气度下滑、设备新品产业化不及预期等。华西证券研究所:华西证券研究所:地址:北京市西城区太平桥大街丰汇园11号丰汇时代大厦南座5层公司评级标准公司评级标准投资评级投资评级说明说明以报告发布日后的6个月内公司股价相对上证指数的涨跌幅为基准。买入分析师预测在此期间股价相对强于上证指数达到或超过15%增70、持分析师预测在此期间股价相对强于上证指数在5%15%之间中性分析师预测在此期间股价相对上证指数在-5%5%之间减持分析师预测在此期间股价相对弱于上证指数5%15%之间卖出分析师预测在此期间股价相对弱于上证指数达到或超过15%行业评级标准行业评级标准以报告发布日后的6个月内行业指数的涨跌幅为基准。推荐分析师预测在此期间行业指数相对强于上证指数达到或超过10%中性分析师预测在此期间行业指数相对上证指数在-10%10%之间回避分析师预测在此期间行业指数相对弱于上证指数达到或超过10%分析师承诺分析师承诺作者具有中国证券业协会授予的证券投资咨询执业资格或相当的专业胜任能力,保证报告所采用的数据均来自合71、规渠道,分析逻辑基于作者的职业理解,通过合理判断并得出结论,力求客观、公正,结论不受任何第三方的授意、影响,特此声明。评级说明评级说明33免责声明免责声明华西证券股份有限公司(以下简称“本公司”)具备证券投资咨询业务资格。本公司不会因接收人收到或者经由其他渠道转发收到本报告而直接视其为本公司客户。本报告基于本公司研究所及其研究人员认为的已经公开的资料或者研究人员的实地调研资料,但本公司对该等信息的准确性、完整性或可靠性不作任何保证。本报告所载资料、意见以及推测仅于本报告发布当日的判断,且这种判断受到研究方法、研究依据等多方面的制约。在不同时期,本公司可发出与本报告所载资料、意见及预测不一致的报72、告。本公司不保证本报告所含信息始终保持在最新状态。同时,本公司对本报告所含信息可在不发出通知的情形下做出修改,投资者需自行关注相应更新或修改。在任何情况下,本报告仅提供给签约客户参考使用,任何信息或所表述的意见绝不构成对任何人的投资建议。市场有风险,投资需谨慎。投资者不应将本报告视为做出投资决策的惟一参考因素,亦不应认为本报告可以取代自己的判断。在任何情况下,本报告均未考虑到个别客户的特殊投资目标、财务状况或需求,不能作为客户进行客户买卖、认购证券或者其他金融工具的保证或邀请。在任何情况下,本公司、本公司员工或者其他关联方均不承诺投资者一定获利,不与投资者分享投资收益,也不对任何人因使用本报告73、而导致的任何可能损失负有任何责任。投资者因使用本公司研究报告做出的任何投资决策均是独立行为,与本公司、本公司员工及其他关联方无关。本公司建立起信息隔离墙制度、跨墙制度来规范管理跨部门、跨关联机构之间的信息流动。务请投资者注意,在法律许可的前提下,本公司及其所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期权交易,也可能为这些公司提供或者争取提供投资银行、财务顾问或者金融产品等相关服务。在法律许可的前提下,本公司的董事、高级职员或员工可能担任本报告所提到的公司的董事。所有报告版权均归本公司所有。未经本公司事先书面授权,任何机构或个人不得以任何形式复制、转发或公开传播本报告的全部或部分内容,如需引用、刊发或转载本报告,需注明出处为华西证券研究所,且不得对本报告进行任何有悖原意的引用、删节和修改。34

    下载