• 首页 >  信息科技 >  半导体
  • 半导体行业深度报告(十一):光刻机国产设备发展任重道远零组件企业或将长期受益-240912(37页).pdf

    定制报告-个性化定制-按需专项定制研究报告

    行业报告、薪酬报告

    联系:400-6363-638

  • 《半导体行业深度报告(十一):光刻机国产设备发展任重道远零组件企业或将长期受益-240912(37页).pdf》由会员分享,可在线阅读,更多相关《半导体行业深度报告(十一):光刻机国产设备发展任重道远零组件企业或将长期受益-240912(37页).pdf(37页珍藏版)》请在本站上搜索。 1、行业研究行业研究 行业深度行业深度 电子电子 证券研究报告证券研究报告 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 Table_Reportdate 2024年年09月月12日日 table_invest 标配标配 Table_NewTitle 光刻机光刻机:国产设备发展:国产设备发展任重道远,任重道远,零组零组件企业或将长期受益件企业或将长期受益 半导体行业深度报告(十一)Table_Authors 证券分析师证券分析师 方霁 S0630523060001 table_stockTrend table_product 相关研究相关研究 1.AI大模型风起云涌,半2、导体与光模块长期受益半导体行业深度报告(十)2.历周期模拟芯片稳中维良,拓新域国内厂商辟土开疆半导体行业深度报告(九)3.存储市场复苏在即,模组厂商曙光再现半导体行业深度报告(八)4.5G助推射频前端高速发展,国内厂商产品升级扶摇直上半导体行业深度报告(七)5.刻蚀机:技术追赶步履不停,国产替代空间充裕半导体行业深度报告(六)table_main 投资要点:投资要点:光刻技术光刻技术经过不断地技术经过不断地技术迭代已经达到了以浸没式、多重曝光技术为主的先进光刻技术环迭代已经达到了以浸没式、多重曝光技术为主的先进光刻技术环节,芯片的制程技术达到了节,芯片的制程技术达到了3nm以下以下。光刻是半导3、体生产过程中最重要的步骤之一,典型的光刻工艺流程包括8个步骤,分别是底膜准备、涂胶、软烘、对准曝光、曝光后烘、显影、坚膜、显影检测。在光刻机的发展历史中,光刻技术经历了接触/接近式光刻机、扫描投影光刻机、步进重复式光刻机到现在的步进扫描光刻机的发展历程,现阶段主流技术为步进扫描光刻机,其余技术基本已经被淘汰了。主流的光刻机均采用浸没系统、可编程光照、畸变修正、热效应修正、对准与表面测量等高难度技术。光刻机可通过多重曝光来提高光刻精度,但是当制程节点达到3nm以下时,EUV光刻机则成为了必需的设备。光刻机重要结构包括光源系统、光学镜头、工作台,其中光源系统是决定光刻机技术迭代的关键系统,光源技术4、迭代经历了g-line、i-line、KrF、ArF、EUV技术,光刻精度也从800nm逐步提升至3nm。全球光刻机规模在全球光刻机规模在2023年约为年约为271亿美元,亿美元,ASML、Nikon、Canon这这3家公司几乎垄断全家公司几乎垄断全球光刻机供应。球光刻机供应。2023年全球光刻机总规模高达271.3亿美元,预计2024年或将增长到315亿美元,长期来看光刻机需求有量价齐升的逻辑,市场规模有望保持增长趋势。光刻机的价值量随着制程不断降低呈现指数级别上涨,未来3nm-1nm制程的光刻机价值量或将超过10亿元。2022年三家公司共出货551台光刻机,其中ASML生产了345台光刻机5、,占比63%。如果拆分不同类别的光刻机占比来看,在EUV领域,ASML具有绝对话语权,占有100%份额,ASML官网数据,2023年各类光刻机均价为EUV(17386万欧元)、ArFi(7196万欧元)、ArFdry(2742万欧元)、KrF(1192万欧元)、l-ine(399万欧元)。目前光刻机国产化率仅为目前光刻机国产化率仅为2.5%,整机技术与海外差距较大,短期,整机技术与海外差距较大,短期5-10年内一方面重点发展年内一方面重点发展90、28nm光刻机的研发量产较为关键,一方面重点布局国内半导体零组件发展。光刻机的研发量产较为关键,一方面重点布局国内半导体零组件发展。根据中国国际招标6、网信息,半导体设备招标中,刻蚀、沉积等核心设备的国产化率获得了较大的提升,核心在于技术上我国相关企业已经逐步追赶上海外企业;但光刻机作为核心设备,国产化率不足3%,核心原因在于零组件供应与整机技术与海外差距较大,这样的技术发展需要长达5-10年甚至更久远时间才能逐步突破。我国2023年进口光刻机数量高达225台,进口金额高达87.54亿美元,进口金额创下历史新高,预计3-5年内我国光刻机主要依赖进口。一台先进的光刻机高达10万个零部件,整体来看我国半导体零组件的国产化率非常低,尽管在各个赛道均有相关的国有企业在不断发展,距离海外企业依然有较大的差距,同时也预示着国内零组件企业公司有较大的发展机7、遇。建议关注光刻机以及光刻机零部件赛道建议关注光刻机以及光刻机零部件赛道优质企业优质企业。在海外不断修改半导体贸易规则的外部环境下,我国半导体产业要发展进步,光刻机不可或缺,光刻技术壁垒极高,长期不断积累才能构建企业长期核心竞争力,国产光刻机整机与零组件企业已经逐步形成一定规模,在自主可控势在必行的长期形势下,国产企业有望迎来较大市场机遇。建议关注:光刻机整机龙头厂商上海微电子上海微电子(未上市)(未上市)、金属工艺件/结构件厂商富创精密、富创精密、光学镜头领先厂商茂莱光学、茂莱光学、半导体洁净领域核心厂商美埃科技、美埃科技、光学晶体龙头福晶科技、福晶科技、半导体管阀生产商新莱应材。新莱应材。8、风险提示:风险提示:1)光刻机研发进度)光刻机研发进度不及不及预期的风险;预期的风险;2)下游需求复苏不及预期的风险;)下游需求复苏不及预期的风险;3)国际贸易国际贸易规则规则变更变更的风险。的风险。-36%-28%-19%-11%-2%6%15%23-0923-1224-0324-06申万行业指数:电子(0727)沪深300证券研究报告证券研究报告 2/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 正文目录正文目录 1.光刻技术经过历史多次迭代光刻技术经过历史多次迭代.5 1.1.光刻工艺对芯片结构与性能影响极大.5 1.2.光刻工艺中不同9、曝光方式的技术迭代.6 1.3.瑞利公式指引光刻机技术不断突破.10 2.光刻机关键系统分析光刻机关键系统分析.13 2.1.光刻机的光源系统的发光原理.13 2.2.光学镜头助力光刻机精准成像.15 2.3.工作台是提高产能精确对准的关键系统.18 3.光刻机供应几乎被海外三家企业垄断光刻机供应几乎被海外三家企业垄断.20 3.1.ASML 占据全球 6 成以上光刻机供应.20 3.2.国产光刻机发展任重道远.21 4.公司介绍公司介绍.24 4.1.上海微电子.24 4.2.富创精密.25 4.3.茂莱光学.27 4.4.福晶科技.29 4.5.美埃科技.31 4.6.新莱应材.33 5.10、风险提示风险提示.36 uYgZwPqQxOdXeU9PcM6MmOmMmOsOeRqQuNfQnMrN8OmMxOvPpOmOMYrMwO证券研究报告证券研究报告 3/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图表目录图表目录 图 1 摩尔定律下单芯片的晶体管数目指数增长.5 图 2 Intel 芯片不同时期下产品及规划.5 图 3 光刻的基本原理.6 图 4 光刻环节的一般工艺流程.6 图 5 接触或接近式及投影式光刻技术原理图.7 图 6 扫描光刻机原理一.7 图 7 曝光视场与扫描视场对比.7 图 8 步进重复光刻技术中曝光场的分11、布.8 图 9 步进重复光刻技术中竖向截面图.8 图 10 步进重复光刻技术中曝光场的分布.8 图 11 步进重复光刻技术中竖向截面图.8 图 12 双重曝光光刻技术示意图.9 图 13 多重曝光中的套刻误差示意图一.9 图 14 多重曝光中套刻误差示意图二.9 图 15 瑞利公式引领光刻机技术不断进步.10 图 16 光刻工艺的光源变化.11 图 17 光刻机的光源波长与制程迭代.11 图 18 数值孔径不断提高后光发生折射返回.12 图 19 ASML 先进光刻机型号信息一览.12 图 20 光刻机主要组成部分.13 图 21 高压汞灯结构图.13 图 22 高压汞灯线路图.13 图 2312、 DUV 光源产生原理以及光谱分布.14 图 24 常见的 DUV 光刻机型号.14 图 25 EUV 光刻机光的来源.15 图 26 CO2 激光器中的受激混合气体发出红光.15 图 27 曝光系统包括照明系统以及投影物镜系统.15 图 28 波像差为实际波面与理想波面的光程差.16 图 29 非球面透镜减少物镜系统复杂度.16 图 30 通过局部加热镜片避免像差.17 图 31 某个 EUV 全反射镜片示意图.17 图 32 晶圆传送模组.18 图 33 双工作台系统模组.18 图 34 对准系统示意图.19 图 35 对准系统流程图.19 图 36 2022 年 ASML/Nikon/C13、anon 光刻机出售比例.20 图 37 2022 年 ASML/Nikon/Canon 各类机台出货量(台).20 图 38 ASML2023 不同种类光刻机销售金额占比.20 图 39 ASML2023 不同种类光刻机出货量占比(台).20 图 40 ASML 不同终端用途对应的光刻机销售额(亿欧元).21 图 41 全球光刻机历年总规模及预测.21 图 42 2015 至 2023 中国光刻机进口台数及金额变化.22 图 43 2022 与 2023 年 ASML 出售地区占比.22 图 44 半导体设备零组件成本占比及国产化率.23 图 45 上海微电子发展历程.24 图 46 上海微14、电子光刻机系列产品图.24 证券研究报告证券研究报告 4/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图 47 富创精密发展历程.25 图 48 2019-2024H1 富创精密营收及增速(亿元,%).25 图 49 2019-2024H1 富创精密利润端情况(亿元,%).25 图 50 2018-2024H1 富创精密毛利率与净利率情况(%).26 图 51 2018-2024H1 富创精密费用率情况(%).26 图 52 2018-2024H1 富创精密营收结构(亿元).26 图 53 2023 年富创精密业务占比情况(%).26 图 15、54 茂莱光学发展历程.27 图 55 2018-2024H1 茂莱光学营收及增速(亿元,%).28 图 56 2018-2024H1 茂莱光学利润端情况(亿元,%).28 图 57 2018-2024H1 茂莱光学毛利率与净利率情况(%).28 图 58 2018-2024H1 茂莱光学费用率情况(%).28 图 59 2019-2024H1 茂莱光学营收结构(亿元).29 图 60 2023 年茂莱光学业务占比情况(%).29 图 61 公司 2024H1 产品下游应用及主要客户.29 图 62 2024H1 公司产品在下游市场分布.29 图 63 福晶科技发展历程.30 图 64 20116、8-2024H1 福晶科技营收及增速.30 图 65 2018-2024H1 福晶科技归母净利润及增速.30 图 66 2018-2022 年福晶科技毛利率与净利率情况(%).31 图 67 2018-2022 年福晶科技费用率情况(%).31 图 68 2019-2024H1 福晶科技营收结构(亿元).31 图 69 2023 年福晶科技业务占比情况(%).31 图 70 美埃科技发展历程.32 图 71 2018-2024H1 美埃科技营收及增速(亿元,%).32 图 72 2018-2024H1 美埃科技利润端情况(亿元,%).32 图 73 2018-2024H1 美埃科技毛利率与净利17、率情况(%).33 图 74 2018-2024H1 美埃科技费用率情况(%).33 图 75 2019-2023 年美埃科技营收结构(亿元).33 图 76 2023 年美埃科技业务占比情况(%).33 图 77 新莱应材发展历程.34 图 78 2018-2024H1 新莱应材营收及增速(亿元,%).34 图 79 2018-2024H1 新莱应材利润端情况(亿元,%).34 图 80 2018-2024H1 新莱应材毛利率与净利率情况(%).35 图 81 2018-2024H1 新莱应材费用率情况(%).35 图 82 2018-2024H1 新莱应材营收结构(亿元).35 图 83 18、2023 年新莱应材业务占比情况(%).35 表 1 相同制程不同类型光刻机所需工艺.10 证券研究报告证券研究报告 5/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 1.光刻技术光刻技术经过历史多次迭代经过历史多次迭代 1.1.光刻光刻工艺对芯片结构与性能影响极大工艺对芯片结构与性能影响极大(1)光刻)光刻是决定集成电路线宽大小的关键环节,是决定集成电路线宽大小的关键环节,是决定芯片性能最关键的工艺是决定芯片性能最关键的工艺之一之一。英特尔创始人之一的戈登摩尔提出的著名的摩尔定律,即是当价格不变时,芯片容纳的晶体管数大约每 18 个月到 219、4 个月翻倍。单位面积芯片上的晶体管数量越多,相对来说对信息的加工处理速度更快、处理信息更多,整体芯片的性能就更好。Intel 最早的 4004 处理器上有 2300 个晶体管,而在 1993 年推出的奔腾处理器已经有 310 万个晶体管了,到了 2000年时奔腾四处理器上有 1.25 亿个晶体管,又经历了大约两次摩尔定律周期到了 2005 年,酷睿 2 芯片已经可以容纳 4.1 亿个晶体管了,比较先进的酷睿 i7 处理器容纳晶体管数已达到18.6 亿颗粒。要在几平方厘米大小的芯片上完成如此复杂的排列,芯片制程与结构改进就非常关键。其一,改进工艺结制程可以通过提高精度来以更小的尺寸制造电路和相20、关原件,并且让其具有更高的密度,在同样的单位面积里拥有更多的元器件数量,同时具有更好的可靠性。其二,改进设计结构可以使相同精度水平下设计出的芯片具有更好的性能,更低功耗。但是无论是哪种改变,都需要以光刻机为核心的半导体设备进行支持,所以光刻是整个芯片制造过程中最关键的工艺之一,而光刻机又是光刻工艺环节中的核心设备。图图1 摩尔定律摩尔定律下单芯片的晶体管数目指数增长下单芯片的晶体管数目指数增长 图图2 Intel 芯片芯片不同时期下产品不同时期下产品及规划及规划 资料来源:电子技术设计,东海证券研究所 资料来源:通信世界网,东海证券研究所 (2)光刻机工作原理类似胶片照相机,)光刻机工作原理类21、似胶片照相机,均是均是通过光线穿透将电路图形在晶圆表面成像通过光线穿透将电路图形在晶圆表面成像,但光刻机的精密度远远高于照相机但光刻机的精密度远远高于照相机。光刻机将光源射出的高能镭射光穿过掩膜版,缩图透镜将掩膜版的电路图缩小很多倍后,将图形集成在即将曝光的晶圆片上。我们可以将光刻机类比照相机,被拍摄的物体等同于光刻过程中的衬底,聚光镜就是单反镜头,而底片就是预涂光涂层的晶圆。但光刻机的精密度远远超过照相机的精度,光刻机需要将电路线宽缩小到目前的 5/3nm 的大小。这样的技术需要非常庞大的机器来完成,一个光刻机中需要集成数万个零组件,集中了全球的顶级光学、机械、电子等各项专业技术。证券研究报22、告证券研究报告 6/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图3 光刻的基本原理光刻的基本原理 资料来源:CSDN,东海证券研究所 (3)典型的光刻工艺流程)典型的光刻工艺流程一般一般包括包括 8 个步骤,分别是底膜准备、涂胶、软烘、对准曝个步骤,分别是底膜准备、涂胶、软烘、对准曝光、曝光后烘、显影、坚膜、显影检测光、曝光后烘、显影、坚膜、显影检测;光刻过程中,量测设备、涂胶显影设备与光刻机配光刻过程中,量测设备、涂胶显影设备与光刻机配合运行合运行。底膜准备:对原始的硅片进行清洗、脱水,并向其涂抹增粘剂。涂胶:对晶圆表面按照指定的厚度23、和均匀性涂抹光刻胶,同时将边缘和多余的光刻胶清洗掉。软烘:去除光刻胶里的溶剂,增加粘合性。对准曝光:将掩膜版和晶圆对准后进行光照曝光。曝光后烘:通过适度的温度来激发光刻胶,使部分光刻胶能够更好的溶于显影液,提高显影的分辨率。显影:向已经曝光的晶圆涂抹显影液,使光刻胶上被照射过的区域溶解,形成电路图型。坚膜:通过烘焙进一步的去除残留的光刻胶溶液,同时提高光刻胶的粘性。显影检测:检查晶圆上显影后的团是否符合要求,如果不符合要求则需要重复进行光刻步骤。在光刻过程中,量测设备、涂胶显影设备与光刻机配合运行,涂胶显影设备在光刻过程中负责光刻胶的涂抹、烘烤,显影液的喷涂清洗等功能,涂胶显影设备的功能和设备24、质量直接影响到光刻工艺整体的良率。量测设备主要对光刻后的电路图形进行套刻误差以及关键尺寸的测量,如果出现误差过大的情况则需要重新进行光刻步骤,确保生产出来的芯片不存在图案缺陷,同时将错误信息反馈给光刻系统以用来改善相关工艺。图图4 光刻光刻环节的一般环节的一般工艺流程工艺流程 资料来源:光刻机结构及工作原理详解华中科技大学,东海证券研究所 1.2.光刻工艺光刻工艺中不同曝光方式中不同曝光方式的的技术迭代技术迭代(1)接触式与接近式光刻机是最早的方式,接触式与接近式光刻机是最早的方式,这样的工艺尺寸在这样的工艺尺寸在m 级别级别。1)上世纪 60年代接触式光刻机诞生,它的掩膜版直接与光刻胶层接触25、,是最简单的光刻设备,目前依然有小批量的产品制造和实验室研究,主要应用于 5m 以上的工艺。这种光刻机掩膜版上的图形与曝光产生的图像基本是一比一的关系,一次性可以曝光整个衬底。由于未通过透镜对光进行处理,所以极大地减少了光的衍射效应;但是在接触过程中,衬底与掩膜版之间的光证券研究报告证券研究报告 7/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 刻胶直接接触,导致极其容易产生污染,降低了产品的良率同时也减少了掩膜版的寿命,所以引进了接近式光刻机。2)与接触式光刻机相比,接近式光刻机为了避免掩膜版与光刻胶直接接触产生污染,所以在掩膜版和光刻胶中26、间填充了氮气的间隙。掩膜版漂浮在氮气上方,由于掩膜版与光刻胶距离越远,光越分散,所以在接近式光刻机里。最小分辨率尺寸是与间隙成正比的,间隙越小,最小分辨率尺寸就越小,也就是分辨率越高。通常情况,掩膜版与光刻胶的最小间隙控制在 2m 到 3m 之间。图图5 接触接触或或接近式接近式及投影式光刻及投影式光刻技术原理图技术原理图 资料来源:汶颢股份,东海证券研究所 (2)扫描投影式光刻机利用物镜系统将整个掩膜图形投影到晶圆上。)扫描投影式光刻机利用物镜系统将整个掩膜图形投影到晶圆上。由于接触式光刻机以及接近式光刻机基本都是直接通过光照射掩膜版使其成像在晶圆上,没有经过透镜缩小的光源极大的限制了光刻机27、的光刻精度,所以投影式光刻机也就应运而生了。投影式光刻机利用光学成像原理在掩膜版和光刻胶之间采用了具有缩小倍率的成像物镜,突破了之前的衍射限制,同时增加了掩膜版的使用寿命,有效的提高了分辨率。世界首台扫描投影式光刻机,采用了汞灯光源,分辨率为 2m。在工作过程中,扫描台上的硅片与掩膜版同时移动,汞灯发出的光线将掩膜版的图案投影在光刻胶上。图图6 扫描光刻机原理一扫描光刻机原理一 图图7 曝光视场与扫描视场对比曝光视场与扫描视场对比 资料来源:光刻机结构及工作原理详解,东海证券研究所 资料来源:光刻机结构及工作原理详解,东海证券研究所 证券研究报告证券研究报告 8/37 请务必仔细阅读正文后的所28、有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度(3)步进重复光刻机在光刻过程中,掩模版固定,晶圆完成单次曝光后步进到下一位)步进重复光刻机在光刻过程中,掩模版固定,晶圆完成单次曝光后步进到下一位置。置。步进重复光刻机不需要掩膜台和晶圆反向扫描,结构相对简单,成本较低性能稳定。除此之外,其采用了 2222mm 的典型静态曝光视场(FOV)和缩小比为 5:1 或 4:1 的光学投影物镜,将掩模版上的图形光刻到晶圆上,也就意味着同样精度的掩膜版和同样波长的光源通过缩小倍率的透镜可以实现更高的精度。目前重复光刻机仍广泛应用于非关键层以及封装等领域,主要采用 g 线和 i 线光源。图29、图8 步进步进重复重复光刻光刻技术中曝光场的分布技术中曝光场的分布 图图9 步进步进重复重复光刻光刻技术中竖向截面图技术中竖向截面图 资料来源:萨科微半导体官网,东海证券研究所 资料来源:萨科微半导体官网,东海证券研究所(4)步进扫描式光刻机为现在主流光刻设备步进扫描式光刻机为现在主流光刻设备。单场曝光采用了动态扫描方式,掩膜版相对衬底晶圆同步完成扫描运动。在完成了当前的曝光后,晶圆由工作台承载到下一个扫描场位置,继续进行重复曝光。重复步进扫描曝光多次以后,直至整个晶圆曝光完毕。步进扫描光刻机的物镜倍率通常为 4 比 1,也就是掩膜版图形尺寸是晶圆图形尺寸的 4 倍,所以掩膜台扫描的速度也是工30、作台移动速度的 4 倍。在采用了动态扫描以后,曝光精度、强度以及曝光的均匀性均有大幅提升。对于传统的硅基底工艺,从 0.18m 开始便采用可步进扫描光刻。现阶段 7nm 以下的极紫外光刻机也采用的步进扫描式光刻。图图10 步进重复光刻技术中曝光场的分布步进重复光刻技术中曝光场的分布 图图11 步进重复光刻技术中竖向截面图步进重复光刻技术中竖向截面图 资料来源:萨科微半导体官网,东海证券研究所 资料来源:萨科微半导体官网,东海证券研究所 证券研究报告证券研究报告 9/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度(5)多重曝光)多重曝光技术技术在31、不改变光源波长的情况下提高分辨率水平。在不改变光源波长的情况下提高分辨率水平。随着光源波长的不断减小,对于光源精度的要求也就越高,同时在光源上投入研究的边际效应越来越低,使得单纯通过光源提高光刻机的分辨率陷入瓶颈,于是各大晶圆厂引入了多重模板工艺。多重模板工艺存在多个细分类,双重曝光在 28nm 节点首先启用用于改善图形质量。除此之外,曝光-固化-曝光-刻蚀(LFLE)、双重光刻(LELE)、三重光刻(LELELE),自对准多重图形(SAMP)技术也在 14/16nm-7nm 工艺节点发挥了重要作用。图图12 双重曝光光刻技术示意图双重曝光光刻技术示意图 资料来源:中国物理协会期刊,东海证券研32、究所 (6)在多重曝光技术中,最重要的是套刻精度。)在多重曝光技术中,最重要的是套刻精度。多重曝光意味着每一次曝光在晶圆片上的位置必须高度的一致,否则曝光后的电路结构就会出现错误,两次曝光位置的偏移程度成为套刻精度的重要指标。因此,随着曝光次数的不断增加,工艺成本也随之激增,同时光刻机的生产效率、良品率、耗电量都会受到影响,于是带来成本的激增,给先进制程产业化生产带来了一定的阻碍并一定程度上降低了效益。所以在对芯片性能没有极高要求的领域,采用多重曝光工艺具有比较高的性价比,同时也能比较稳定地完成相关需求。图图13 多重曝光中的套刻误差示意图一多重曝光中的套刻误差示意图一 图图14 多重曝光中套33、刻误差示意图二多重曝光中套刻误差示意图二 资料来源:中国科学院半导体研究所,东海证券研究所 资料来源:国家知识产权局,东海证券研究所 (7)针对)针对 5nm 以下的制程节点,以下的制程节点,EUV 光刻机则成为了必需设备。光刻机则成为了必需设备。因为当工艺节点达到了 7nm 以下以后就必须使用自对准四重曝光(或大于四重)图形,此技术存在大量相关技术难题。首先自对准多重图形必须有大量重复的配套流程,包括但不仅限于刻蚀、薄膜沉积等步骤,工艺复杂度大幅提升。同时多重曝光所采用的 193nm 光源本身也存在分辨率极限,不能满足 5nm 以下的制程需求。所以现阶段顶尖制程所需要的设备仍是以高分辨率光源34、为突破口的 EUV 光刻机。证券研究报告证券研究报告 10/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 表表1 相同制程不同类型光刻机所需工艺相同制程不同类型光刻机所需工艺 Node(nm)MP(nm)DUV 工艺工艺 EUV 工艺工艺 DUV 误差程度误差程度 14 60 LELE SE 低 10 50 LELE SE 低 7 40 LELE+LELE cut SE+SE cut 较高 5 30 LELE(SADP)+LE4 cut LELE+LELE cut 高 3 24 LELE(SADP)+LE4 cut LELE+LELE cut35、 高 资料来源:CSDN,东海证券研究所 1.3.瑞利公式指引光刻机技术不断突破瑞利公式指引光刻机技术不断突破(1)瑞利公式指引光刻机技术不断突破。)瑞利公式指引光刻机技术不断突破。高端的工艺制程具有更小的线宽以及更高的曝光分辨率,这就需要提到极其重要的瑞利公式:R=K/Na,K 为工艺因子常数,其理论极限值是 0.25,为光源波长,Na 为物镜的孔径数值。光刻机可以通过提高工艺水平(缩小 K 值),缩小光源波长,提高数值孔径的方式来提高分辨率水平。图图15 瑞利公式引领光刻机技术不断进步瑞利公式引领光刻机技术不断进步 资料来源:ASML 年报,东海证券研究所 (2)缩小光源波长可以提高分辨率36、。)缩小光源波长可以提高分辨率。光刻机光源共经历五代产品发展:第一代光线为g-line,属于可见光源,最开始应用于接触/接近式光刻机,光源波长为 436nm 对应 800-250nm 的工艺;第二代为 i-line,属于紫外光源,波长为 365nm;第三代为 KrF 工艺,此光源属于深紫外光源,也就是我们耳熟能详的 DUV 光源,其采用了 248nm 的 KrF 光源,对应了 180-130nm 的工艺;第四代是 ArF 光源,同属于 DUV 光源,波长为 193nm,分为干式光刻机和浸没式光刻机,浸没式光刻机通过在物镜镜头和晶圆之间增加去离子水增大折射率来提高光刻精度。第五代就是最新的极紫外37、光科技,也就是我们常说的 EUV 光刻机,采用 13.5nm 的 EUV 光源,对应 7-3nm 的工艺。证券研究报告证券研究报告 11/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图16 光刻工艺的光源变化光刻工艺的光源变化 资料来源:萨科微半导体公司官网,东海证券研究所 (3)随着光源系统的不断随着光源系统的不断向更短波长迭代,光刻工艺的芯片制程也在不断降低向更短波长迭代,光刻工艺的芯片制程也在不断降低。如下图所示,光源系统是决定光刻机迭代的主要因素,随着不同光源系统的升级,对应的光波波长不断减小,同时光刻的制程也在不断降低,最新的 38、EUV 光源是能够光刻 3nm 芯片的先进技术。图图17 光刻机的光源波长与制程迭代光刻机的光源波长与制程迭代 光刻机迭代 光源 波长(nm)类型 制程(nm)第一代 g-line 436 接触式/接近式 800-250 第二代 i-line 365 接触式/接近式 800-250 第三代 KrF 248 扫描投影式 180-130 第四代 ArF 193 步进投影式 130-65 浸没步进式 45-22 第五代 EUV 13.5 极紫外线 22-3 资料来源:ASML,OFweek 产业研究院,东海证券研究所 (4)光源迭代遭遇瓶颈,提高)光源迭代遭遇瓶颈,提高 NA(数值孔径)(数值孔径)39、为当前研发重点。为当前研发重点。=,所以提高数值孔径可以通过两个方法实现:1)增加投影物镜的直径,使更多的衍射光被收集并聚焦在晶圆表面,从而提高数值孔径。但在干式光刻机中,当光从投影物镜射出时,由玻璃介质进入空气介质,会产生折射效应,射出投影物镜的光角度会产生变化,最终在晶圆表面聚焦成像。当缩小线宽,为了仍可收到 1 阶衍射光而加大投影物镜的直径时,从投影物镜内聚焦的光角度也会愈来愈大,再经过折射效应,射出投影物镜的光角度会愈来愈接近水平。最后,由于角度太大加上折射效应,投影物镜内的光产生全反射而返回物镜内,无法成像,该方法失效;2)使用浸没式光刻在投影物镜和晶圆间加水,从而增大介质折射率(140、93nm波长激光中,空气=1,水=1.44,玻璃1.5),实现等效波长为 193/1.44=134nm。这就是浸没式光刻机的理论由来。证券研究报告证券研究报告 12/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图18 数值孔径不断提高数值孔径不断提高后光发生折射返回后光发生折射返回 资料来源:萨科微半导体公司官网,东海证券研究所 (5)光刻机在光刻机在 ASML 技术发展下不断迭代,最小分辨率也在不断地减小,从而推动整技术发展下不断迭代,最小分辨率也在不断地减小,从而推动整个全球先进制程工艺的发展与进步。个全球先进制程工艺的发展与进步。如41、下图所示是 ASML 部分先进制程光刻机型号以及关键信息总结,光刻机的核心指标是光源,不同光源波长下分辨率呈现较大差别。此外,数值孔径越大,同一个光源下的精度相对更高。制程越高,单位时间晶圆产量在减少,工艺技术越先进,精确度越高,生产过程更加复杂,因此单位时间产量相对更低。总体上说,光刻机在瑞利公式的指引下不断进步发展,为全球半导体先进工艺发展不断做出贡献。图图19 ASML 先进光刻机型号信息一览先进光刻机型号信息一览 光源 光刻机型号 推出年份 WPH 最小制程 数值孔径NA 套刻精度MMO 分辨率 DUV ArF dry XT1250 2018 250 90nm 0.85 6nm 30042、 65nm 0.93 4nm 57nm ArFimmersion NXT1950 2013 190 28nm 1.35 5.5nm 38nm ArFimmersion NXT1970 2015 250 16/14nm 1.35 3.5nm 38nm ArFimmersion NXT1980 2016 275 14-7nm 1.35 2.5nm 38nm ArFimmersion NXT2000 2018 275 7nm 1.35 2nm 38nm ArFimmersion NXT2050 2020 295 7-5nm 1.35 1.5nm 38nm EUV NXT3400B 2017 125 43、7nm 0.33 2nm 13nm NXT3401C 2019 170 7-5nm 0.33 1.5nm 13nm NXT3402D 2022 160 5-3nm 0.33 1.1nm 13nm EUVHigh-NA EXE 5200 2025 待定 2nm-A14 0.55 待定 7nm EUVHyper-NA 待定 待定 待定 A10 0.7-0.75 待定 待定 注:1、WPH:每小时晶圆片产量。2、数值孔径:衡量光学系统能够收集的光的角度范围,同类光刻机中数值越大精度越高。3、套刻精度:光刻过程中,当前层与前一层图形之间的对准精度。资料来源:快科技,东海证券研究所 证券研究报告证券研究44、报告 13/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 2.光刻机光刻机关键关键系统系统分析分析 2.1.光刻机的光刻机的光源系统光源系统的发光原理的发光原理(1 1)光刻机组成中最重要)光刻机组成中最重要的的是是照明系统、投影物镜系统和工件台系统照明系统、投影物镜系统和工件台系统,光刻机光刻机集中了集中了目前电子、光学、精密器械和控制领域最尖端的知识。目前电子、光学、精密器械和控制领域最尖端的知识。其主要由照明、投影物镜、工件台、掩膜台、对准与测量、传输等系统组成。除此之外还有光刻计算和掩膜优化为光刻机提供相关支持。图图20 光刻机主要组45、成部分光刻机主要组成部分 资料来源:ASML 官网,东海证券研究所 (2)最开始最开始 365nm 及以上波长的光刻机使用的光源是高压汞灯作为光源及以上波长的光刻机使用的光源是高压汞灯作为光源。高压汞灯可以提供 254nm-579nm 波长的光。通过滤波器的使用,我们可以将高压汞灯产生的光源分别过滤单独使用 365nm 的 i-line 光、405nm 的 h-line 光以及 436nm 的 g-line 光。图图21 高压汞灯结构图高压汞灯结构图 图图22 高压汞灯线路图高压汞灯线路图 资料来源:华强电子网,东海证券研究所 资料来源:华强电子网,东海证券研究所 证券研究报告证券研究报告 146、4/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度(3)KrF 和和 ArF/ArFi 光刻机使用准分子激光器作为光源光刻机使用准分子激光器作为光源,利用激发态的分子不稳定性,利用激发态的分子不稳定性发光,从而得到更短的发光,从而得到更短的 248nm 与与 193nm 的光波长的光波长。其工作原理为氪气与氩气等惰性气体在电场和高压环境下与氟气,氯气等卤素气体发生反应生成不稳定的准分子。而激发态的准分子又不断的分解,释放出了深紫外的光子,通过这种释放光子的过程,可以得到 248nm和 193nm 的光源。由于准分子激光是脉冲式,所以其主要关注点47、为脉冲频率、输出功率以及持续时间等。图图23 DUV 光源产生原理以及光谱分布光源产生原理以及光谱分布 图图24 常见的常见的 DUV 光刻机型号光刻机型号 资料来源:激光制造网,东海证券研究所 资料来源:ASML 官网,东海证券研究所 (4)EUV 光刻机光刻机是是采用采用二氧化碳二氧化碳激发的激发的 LPP 从而产生从而产生 13.5nm 的的光源。光源。EUV 光源主要由主脉冲激光器、预脉冲激光器、光束传输系统、锡液滴靶、锡回收器、收集镜等构成。13.5nm 的 EUV 光源条件极其苛刻,目前的 EUV 光刻机内部零部件高达 10 万个,复杂程度极其高。首先为了产生波长 193nm 的深48、紫外光(DUV),只有用 ArF 准分子才能够被激发的深紫外光。氩(Ar)是惰性气体,与其他物质几乎都不发生化学反应,氟(F)元素具有较强的氧化性,是少数与氩(Ar)可以发生化学反应的元素,从而发射出波长较短的光波,ArF 是目前产生 DUV(深紫外线)光源的办法。EUV 光源波长只有 13.5nm,能够产生这种波长的方式条件更为苛刻。科学实验发现,产生 EUV 光源的办法是激光打击锡金属,锡金属被熔化形成直径只有 20 微米的液滴,并且在真空环境中自由下落,在下落过程中,首先是 193nm 的深紫外光,将锡液滴打成云状,紧接着用功率高达 20kW 的二氧化碳激光器再次击打它,就能激发出 EU49、V,产生 EUV 光源的技术非常苛刻,制造与装配光刻机的难度也非常之大。其一,需要两次光源准确击打到正在自由下落的金属液滴中,激发产生的光转瞬即逝,因此需要每秒钟激发约 5 万次才能产生连续的光源。其二,需要高达 20kW 的二氧化碳激光器,所需电源功率达到了 200kW,这样高功耗的光所激发的极紫外光的功率大约只有 210W,效率一般只有 5.5%。其三,光刻机的运输装配过程较为复杂。EUV 光刻机的大小与公交车类似,整个机器包含 10 万个部件和 2 公里长的电缆,每台机器发货需要 40 个集装箱、3 架货机或者 20 辆卡车。其四,EUV 为了精准成像还需要大量的精细化发射镜。DUV 光50、刻机的投影物镜,需要约 29 枚透镜,近 60 个光学表面,最大直径达到 80 厘米,500kg 的重量,EUV 所需要用到的镜子是具有极高精度的钼/硅反射镜,每个镜片依然会对EUV 有 30%的吸收率,而整个反射系统需要 11 枚反射镜,因此真正用于光刻芯片的光强只剩下 2%。综合来看,EUV 光刻机的技术条件比 DUV 更为苛刻,体现在光源技术、运输装配、独特反射镜等多个方面。证券研究报告证券研究报告 15/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图25 EUV 光刻机光的来源光刻机光的来源 图图26 CO2 激光器中的受激混合气51、体发出红光激光器中的受激混合气体发出红光 资料来源:激光制造网,东海证券研究所 资料来源:激光制造网,东海证券研究所 (5)降低光源系统能耗是光源系统重要的发展方向。)降低光源系统能耗是光源系统重要的发展方向。DUV 光刻机主要通过三种方法降低功耗。1)改善腔体内部件绝缘度。)改善腔体内部件绝缘度。气体在腔体内部是通过风扇驱动的,降低内部件绝缘度可以降低 19%左右的功耗。2)增强气体的预电离。)增强气体的预电离。电极之间有 10mm 左右的间距,如果不事先对气体做预电离,则气体很难在电极之间产生稳定持续的放电效果,会极大的增加电极损耗,从而增大光源系统的耗能。3)通过对电极表面进行特殊处理。52、)通过对电极表面进行特殊处理。激光腔的使用寿命主要受到电极损耗的限制,而电极损耗是由于激光产生脉冲所导致的,因为在放电过程中,卤素气体元素会不断腐蚀金属电极。所以通过特殊处理的电极有着更好的抗腐蚀性和抗离子溅射能力,直接延长了激光腔的使用寿命,间接的降低了功耗,减少了成本。2.2.光学镜头助力光刻机精准成像光学镜头助力光刻机精准成像(1)光学镜片由照明系统与投影物镜系统组成。)光学镜片由照明系统与投影物镜系统组成。高数值孔径的镜头意味着可以吸纳更大范围的光源,也就意味着数值孔径的高低一定程度上决定了光刻机的分辨率以及套刻精度。现阶段主流光刻机的照明与投影物镜系统均内置光学调整功能组件,能够根据53、掩膜版的图案结合优化算法,采用最佳的曝光优化方案。光刻机通过照明系统、掩膜版、投影物镜、光刻计算等步骤的相互配合,实现最佳的光刻方案。光源系统存在于掩膜台和光源之间,由于不同的掩膜版的最佳照明光场不同,所以需要照明系统调节光场的空间和角度分布、变化不同的照明方式或者控制曝光剂量等方式为不同的掩膜版量身定做,提供最适合的照明光场。图图27 曝光系统包括照明系统以及投影物镜系统曝光系统包括照明系统以及投影物镜系统 资料来源:光刻机结构及工作原理详解,东海证券研究所 (2)投影物镜系统位于掩膜版和晶圆之间,作用是把掩膜版上的电路图案缩小到)投影物镜系统位于掩膜版和晶圆之间,作用是把掩膜版上的电路图案54、缩小到 1/16后,聚焦成像至预涂光刻胶的晶圆上。后,聚焦成像至预涂光刻胶的晶圆上。正如前文我们所提到的单反相机,投影物镜就如同单反相机的镜头将要拍摄的物体(掩膜版的图形)聚焦成像到底片(晶圆)上。由于掩膜图形证券研究报告证券研究报告 16/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 的线宽是硅片上的四倍,所以一定程度上降低了掩膜的制造难度、减少了掩膜缺陷对光刻的影响。但是由于光源的波长不断减小,导致投影物镜能用的材料种类也越来越少,由于大部分光学材料对于 DUV 波段光源透过率很低,可用材料只有熔炉石英和氟化钙,世界仅有少数商家能够提供。55、单个透镜由于本身的光学性质会导致图像出现相差,不同透镜组合可修正图像畸变。光刻机作为高端精密光学产品,光学镜头无法避免存在一定像差,从而导致图像发生畸变,这会导致掩膜版上的图形与实际曝光的图形有着较大的差异。图图28 波像差为实际波面与理想波面的光程差波像差为实际波面与理想波面的光程差 资料来源:光刻机结构及工作原理详解,东海证券研究所(3)非球面物镜减少投影物镜系统复杂度。)非球面物镜减少投影物镜系统复杂度。为了实现场曲的矫正,投影物镜采用的都是“腰肚”式结构。最初系统的结构依次为正组,负组,正组,负组,正组,形成“腰肚”。当 NA 大于 0.75 时,需引入非球面镜片。一方面,如果采用全球56、面结构形式,光学元件的孔径尺寸及体积随着 NA 的增加急剧增加;另一方面,物镜投影物镜 NA 增加,分辨率增强,成像质量要求也进一步提高,采用全球面光学系统,设计复杂度随之增加。随着非球面数量的增加,双腰结构逐渐从“1.5 腰结构”变为“单腰结构”,光学元件数减少。图图29 非球面透镜减少物镜系统复杂度非球面透镜减少物镜系统复杂度 资料来源:光刻机结构及工作原理详解,东海证券研究所 证券研究报告证券研究报告 17/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度(4)投影物镜通过多种方式修正图像质量,物镜投影系统内部拥有许多可动镜片来协)投影物镜57、通过多种方式修正图像质量,物镜投影系统内部拥有许多可动镜片来协助修补相差。助修补相差。这些镜片可以通过垂直修正、倾斜修正和多向修正等多种修正方法对像差进行补偿。投影物镜系统的光学元件调整机制还可与 SMO、OPC 系统协同运作,减少像差。主要方式为在镜片附近增加可局部加热的光学器件,通过控制该元件局部温度变化改变材料的折射率,实现像差的补偿。图图30 通过局部加热镜片避免像差通过局部加热镜片避免像差 资料来源:先进计算光刻袁淼、孙义钰、李艳秋,东海证券研究所 (5)EUV 光源制造难度大,精度要求高光源制造难度大,精度要求高;EUV 光收集难度大,转化效率低,耗电量光收集难度大,转化效率低,耗58、电量极极高高。极紫外光的波长为 13.5nm,这种波长的光极容易被玻璃材料吸收,所以需要使用反射镜来代替传统光刻机内的透镜,而普通反射镜由于反射率较低,所以必须使用复式镜面设计(可将多层反射集中为单一反射)。此外气体也可能吸收 EUV 光,所以内部必须采用真空环境。由于 EUV 光源极易被玻璃以及空气吸收,所以内部环境必须真空,同时需要采用反射镜进行反射传递光源。图图31 某个某个 EUV 全反射镜片全反射镜片示意图示意图 资料来源:ASML 官网,东海证券研究所 证券研究报告证券研究报告 18/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 59、2.3.工作台是提高产能精确对准的关键工作台是提高产能精确对准的关键系统系统(1)双工作台系统助力光刻机保持超高精度以及长期稳定的工作状态)双工作台系统助力光刻机保持超高精度以及长期稳定的工作状态,同时提升工作,同时提升工作效率效率。2000 年荷兰 ASML 发明双工件台系统,称为 TWINSCAN 系统。在双工件台系统中,同时进行曝光与测量,效率极大提升。在纳来的世界里,晶圆从传送模组以机械手臂放置到平台上,每次放置位置的差距都是微米级的(1000 纳米)。所以在晶圆爆光之前,必须要先侦测晶圆在平合上的精确位置。双平台可以在前一片晶圆曝光的同时,对下一片晶圆进行精准量测,不需要等待。图图360、2 晶圆传送模组晶圆传送模组 资料来源:ASML 官网,东海证券研究所 图图33 双工作台系统模组双工作台系统模组 资料来源:ASML 官网,东海证券研究所 (2)工作台系统的精密程度一定程度决定了工作台系统的精密程度一定程度决定了光刻中的套刻精度。光刻中的套刻精度。晶圆与掩膜版设计有特殊对准图形,只有两者位于一定范围内,光学系统才能予以捕捉。原理上来看,工件台与晶圆均有相关的对准记号,也就是 ATHENA 对准系统,根据对准标记的检测能够判断出位移大小。此外,工作台上 TIS 传感器对准系统将掩膜上的 TIS 标记投射到工件台 TIS 传感器上,根据感应检测可以准确计算出位移大小。TIS 传61、感器与 ATHENA 对准系统主要依赖光学原理进行,更先进的对准系统采用更多波段的光源,进一步提高对准精度。证券研究报告证券研究报告 19/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图34 对准系统示意图对准系统示意图 图图35 对准系统流程图对准系统流程图 资料来源:君睿技术,东海证券研究所 资料来源:君睿技术,东海证券研究所 (3)光刻胶只有在光刻胶只有在聚焦深度聚焦深度范围内才能范围内才能曝光曝光,聚焦范围限制在几百纳米级别,聚焦范围限制在几百纳米级别,物镜与物镜与工作台的紧密程度都要控制在纳米的级别工作台的紧密程度都要控制在纳米62、的级别。光刻机对掩膜版曝光时,晶圆需要处于光线的聚焦范围内,也就是聚焦深度。晶圆需要经过多次的光刻、刻蚀等工艺,一般来说是不平整的,需要对晶圆上几百纳米甚至几纳米的线路范围进行光刻,需要极其精准的聚焦范围。除了需要高精密的物镜之外,工作台的调整也十分关键。一般来说先通过调焦调平传感器,确定最佳的焦面距离和倾斜量,其次调整工件台,使晶圆表面待曝光区域位于焦深范围之内。证券研究报告证券研究报告 20/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 3.光刻机光刻机供应几乎被供应几乎被海外海外三家企业垄断三家企业垄断 3.1.ASML 占据全球占据全63、球 6 成以上光刻机供应成以上光刻机供应(1)全球光刻机市场主要由)全球光刻机市场主要由 ASML、Canon、Nikon 垄断,垄断,2022 年年 ASML 占据全球占据全球光刻机出货量光刻机出货量 63%。2022 年三家公司共出货 551 台光刻机,其中 ASML 生产了 345 台光刻机,占比 63%。如果拆分不同类别的光刻机占比来看,在 EUV 领域,ASML 具有绝对话语权,占有 100%分份额,掌握绝对核心技术。现阶段,单台 EUV 光刻机平均售价已经超过 10 亿元人民币,ASML 公司 2022 年 EUV 光刻机销售了 40 台。在 ArF 领域,ASML 占据主要市场份64、额,Nikon 也有少量出货。由于 ASML 技术上大幅领先,在 ArF 市场仍占据主要份额,但是 Nikon 后来者居上,致力于在 ArF 领域实现对 ASML 的追赶。在 KrF 以及 i-line 领域,Canon 占据较多份额。Canon 深耕低端领域,在低端领域占据较多份额,随着低阶产品销量的持续增加,2022 年 Canon 在营收上已经超越了 Nikon。2022 年 Canon 光刻机出货 176 台,同比增加 36 台,其中 i-line 为出货主要产品,共出货 125 台。除此之外Canon 计划新建光刻机工厂,预计 2025 年投产,产能约为现在的两倍。未来全球的光刻机市65、场或将主要由 ASML 与 Cannon 来供应。图图36 2022 年年 ASML/Nikon/Canon 光刻机出售比例光刻机出售比例 图图37 2022 年年 ASML/Nikon/Canon 各类机台出货量各类机台出货量(台)(台)资料来源:ASML Nikon Canon 公司公告,东海证券研究所 资料来源:ASML Nikon Canon 公司公告,东海证券研究所 (2)从销售型号来看,)从销售型号来看,ASML 的的高端高端 EUV、ArFi 光刻机市场规模较大,低端光刻机市场规模较大,低端 KrF 光光刻机和刻机和 i-line 光刻机销量较高。光刻机销量较高。ASML202366、 年光刻机销售金额为 219.39 亿欧元,2023 年各类光刻机均价为 EUV(17386 万欧元)、ArFi(7196 万欧元)、ArFdry(2742 万欧元)、KrF(1192 万欧元)、l-Line(399 万欧元)。图图38 ASML2023 不同种类光刻机销售不同种类光刻机销售金金额占比额占比 图图39 ASML2023 不同种类光刻机出货量占比不同种类光刻机出货量占比(台)(台)资料来源:ASML2023 财报,东海证券研究所 资料来源:ASML2023 财报,东海证券研究所 证券研究报告证券研究报告 21/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说67、明和声明 行业深度行业深度(3)逻辑与存储占据光刻机的大部分应用,应用逻辑芯片的光刻机的占比随着制程提逻辑与存储占据光刻机的大部分应用,应用逻辑芯片的光刻机的占比随着制程提升不断升高升不断升高。根据 ASML 的年报,光刻机的应用在逻辑、存储两大领域,逻辑芯片制程越高需要的光刻机越高端,目前制程在 5/3nm 的芯片几乎都是用 EUV 的光刻机。存储芯片来看,目前的制程在 10-20nm 为主,需要的光刻机以 DUV 为主。未来趋势看,3nm 到 2nm 技术不断商业化,对 EUV 光刻机需求量不断提升,应用逻辑领域的光刻机占比会更高。图图40 ASML 不同终端用途对应的光刻机销售额不同终端68、用途对应的光刻机销售额(亿欧元)(亿欧元)资料来源:ASML 财报,东海证券研究所 3.2.国产光刻机发展国产光刻机发展任重道远任重道远(1)2023 年全球光刻机总规模高达年全球光刻机总规模高达 271.3 亿美元,亿美元,预计预计 2024 年或将增长到年或将增长到 315 亿美亿美元,长期来看光刻机需求有量价齐升的逻辑,市场规模有望保持增长趋势元,长期来看光刻机需求有量价齐升的逻辑,市场规模有望保持增长趋势。光刻机的价值量随着制程不断降低呈现指数级别上涨,未来 3nm-1nm 制程的光刻机价值量或将超过 10 亿元,高端光刻机的需求量不断提升;同时,随着全球晶圆产能不断新建与改建,全球半69、导体产能长期不断增长,长期对光刻机的需求量也在不断提升。长期看,光刻机具备单价与数量的需求提升,总体需求规模或不断增长。图图41 全球光刻机历年总规模及预测全球光刻机历年总规模及预测 资料来源:SEMI,中商产业研究院,东海证券研究所 证券研究报告证券研究报告 22/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度(2)目前目前光刻机国产化率仅为光刻机国产化率仅为 2.5%,整机技术与海外差距较大,短期整机技术与海外差距较大,短期 5-10 年内或将年内或将难以追赶难以追赶。半导体设备招标中,刻蚀、沉积等核心设备的国产化率获得了较大的提升,核心在70、于技术上我国相关企业已经逐步追赶上海外企业,但光刻机作为核心设备,国产化率不足3%,核心原因在于零组件供应与整机技术与海外差距较大,我们认为这样的技术发展需要长达 5-10 年甚至更久远时间才能逐步突破。图图42 2015 至至 2023 中国光刻机进口台数及金额变化中国光刻机进口台数及金额变化 图图43 2022 与与 2023 年年 ASML 出售地区占比出售地区占比 资料来源:中国海关总署,东海证券研究所 资料来源:ASML 财报,东海证券研究所(3)我国)我国 2023 年进口光刻机数量高达年进口光刻机数量高达 225 台,进口金额高达台,进口金额高达 87.54 亿美元,进口金亿美元71、,进口金额创下历史新高额创下历史新高,预计,预计 3-5 年内我国光刻机主要依赖进口年内我国光刻机主要依赖进口。根据 ASML 年报,2023 年中国大陆占据公司销售额的 29%,相比 2022 年的 14%有较大比例提升,主要原因在于国内晶圆制造厂担忧美国进一步的高端装备管制,2023 年有大量的光刻机进口中国大陆,2023 年我国的光刻机进口金额高达 87.54 亿美元,创下历史新高。(4)光刻机核心壁垒之一就是零组件国产化率极低,我国企业处于长期不断追赶海外光刻机核心壁垒之一就是零组件国产化率极低,我国企业处于长期不断追赶海外龙头的发展阶段。龙头的发展阶段。光刻机除了本身的整机壁垒极高之72、外,零部件的供应也十分关键,一台先进的光刻机高达 10 万个零部件,零组件的供应十分关键。如下图所示,半导体设备的零组件有很多的公用属性,整体来看我国半导体零组件的国产化率非常低,尽管在各个赛道均有相关的国有企业在不断发展,距离海外企业依然有较大的差距,同时也预示着国内零组件企业公司有较大的发展机遇。证券研究报告证券研究报告 23/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图44 半导体设备零组件成本占比及国产化率半导体设备零组件成本占比及国产化率 资料来源:富创精密,中商产业研究院,东海证券研究所 证券研究报告证券研究报告 24/3773、 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 4.公司介绍公司介绍 4.1.上海微电子上海微电子(1)上海微电子以承担国有光刻机项目开始发展,迄今成为国内极其稀缺的光刻机企上海微电子以承担国有光刻机项目开始发展,迄今成为国内极其稀缺的光刻机企业之一。业之一。2002 年上海微电子装备有限公司在张江高科技园区成立,并承担 863 计划中的 100纳米分辨率 Arf 光刻机项目。2009 年公司首台先进封装光刻机 SSB500/10A 成功交付用户。公司技术经过多年的沉淀和积累在 2016 年产生质的变化,推出用于 IC 前道制造的 600系列光刻机74、,光刻工艺可以覆盖 90nm、110nm 以及 280nm,成为中国首家能够完成光刻机整机制造的厂商,为以后浸没式光刻机的研发打下了坚实的基础。图图45 上海微电子发展历程上海微电子发展历程 资料来源:上海微电子官网,东海证券研究所 (2)作为大陆)作为大陆稀缺稀缺的光刻机整机厂商,上海微电子在整个光刻领域具有完善全面的布的光刻机整机厂商,上海微电子在整个光刻领域具有完善全面的布局。局。公司光刻产品覆盖了 IC 前道制造光刻、后道封装光刻、衬底光刻等多个领域,能够满足 IC 前道制造 90nm、110nm 以及 280nm 的光刻工艺需求,现阶段该设备已经可以适用于8 寸或 12 寸产品的大规75、模生产。图图46 上海微电子光刻机系列产品图上海微电子光刻机系列产品图 资料来源:上海微电子官网,东海证券研究所 证券研究报告证券研究报告 25/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度(3)公司的公司的 90nm 光刻机批量出货以及更先进的光刻机光刻机批量出货以及更先进的光刻机(如(如 28nm)量产是公司未来量产是公司未来发展重点关注要点。发展重点关注要点。上海微电子完成 90nm 光刻机出货,并加速浸没式设备研发。2018 年公司承担的 02 专项“90nm 光刻机样机研制”通过验收,对应 90nm SSA600/20 步进扫描投影76、光刻机实现量产。2017 年公司承担的 02 专项“浸没光刻机关键技术预研项目”通过验收,现阶段正在加速推进产业化落地。如果浸没式 DUV 能顺利跑通,通过 ArFi+多重曝光或可将国内 IC 制造的国产化能力推进至先进制程,将是里程碑式的迈进。4.2.富创精密富创精密(1)目前目前公司是中国半导体设备零部件领域的头部企业。公司是中国半导体设备零部件领域的头部企业。2008 年,沈阳富创精密设备股份有限公司成立,2011 年公司为国际半导体设备龙头企业提供产品及服务,2013 年公司为国内半导体设备龙头企业提供产品及服务,2014 年公司承担国家 02 重大专项之“基于焊接和表面涂覆技术的大型77、铝件制造技术开发项目”,2018 年至今公司分别扩张建立了美国研发中心和日本研发中心。公司所生产的设备零部件产品的技术节点从 65nm 升级至 7nm,是全球为数不多的能够量产应用于 7nm 工艺制程半导体设备的精密零部件制造商。图图47 富创精密发展历程富创精密发展历程 资料来源:富创精密官网,东海证券研究所 (2)2019 年至年至 2024H1 年营业收入年营业收入与归母净利润实现高速增长与归母净利润实现高速增长。2019-2023 年,公司营业收入由 2.53 亿元增长至 20.66 亿元,近四年复合增长率为 69.05%;公司归母净利润由2019 年亏损 0.33 亿元到 2023 78、年净利润 2.46 亿元。公司业绩高速增长主要原因为国内外半导体市场需求增长,零部件国产化需求拉动,前期预投产能陆续释放。2024 年 H1 在国际形势严峻而且半导体行业处于周期底部的压力下,公司业绩仍然逆流而上,营业收入继续高速增长 81.8%,可见公司的内生增长动力十足,长期发展潜力依然较大。图图48 2019-2024H1 富创精密营收及增速(亿元,富创精密营收及增速(亿元,%)图图49 2019-2024H1 富创精密利润端情况(亿元,富创精密利润端情况(亿元,%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 证券研究报告证券研究报告 26/37 请务必仔细阅79、读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度(3)公司毛利率与净利率相对稳健,研发高投入稳固企业长期核心竞争力公司毛利率与净利率相对稳健,研发高投入稳固企业长期核心竞争力。公司盈利水平较为稳定,毛利率除 2019 年外均维持在 25%以上,净利率自 2019 年以后也同样维持在较高水平,2019 年毛利率与净利率腰斩的原因为主要有两点:1)受到行业景气度波动影响;2)公司于 2019 年预设投产能转固定资产,当年大幅增加了折旧与摊销,所以导致毛利率净利率均受到影响。除此之外,公司积极投入技术研发,增加员工薪酬吸引人才。图图50 2018-2024H1 富创精密80、毛利率与净利率情况(富创精密毛利率与净利率情况(%)图图51 2018-2024H1 富创精密费用率情况(富创精密费用率情况(%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (4)公司各类半导体设备零部件业务协同发展公司各类半导体设备零部件业务协同发展,多元化布局助力稳健经营。,多元化布局助力稳健经营。富创精密半导体设备零部件产品涉及结构零部件、模组产品、工艺制品和气体产品四大分类,并囊括数十种具体产品。其中,工艺零部件直接参与晶圆反应过程,影响晶圆制造的良率;结构零部件在设备中起连接、支撑的作用;气体产品连接到反应腔,负责输送半导体气体;模组产品是将工艺零部件、结81、构零部件、气体管道和外购电子标准件/机械标准件进行组装,形成具备部分半导体设备核心功能产品。从业务收入情况上看,2022 年以前结构零部件是公司收入的主要来源,2022 年占比高达 32%;2023 年后模组产品占据公司的主要收入组成,也是近 2 年来公司业务增长较快的板块。总的来说公司各项业务均保持稳定增长态势,助力公司稳定发展。图图52 2018-2024H1 富创精密营收结构(亿元)富创精密营收结构(亿元)图图53 2023 年富创精密业务占比情况(年富创精密业务占比情况(%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (5)公司)公司未来未来主要关注因素:主82、要关注因素:1)公司结构、工艺零部件已在高温扩散、刻蚀、薄膜设备应用于 7nm 制程,关注公司在全球设备龙头企业的收入进展与长期规划。2)公司沈阳基地现有产能约 15-20 亿元,预计南通工厂 20 亿元产能将于 2023-2025 年逐步释放,北京工厂产能将于 2024-2027 年逐步释放,至 2027 年公司总产能预计达 60 亿元,关注公司各个基地产能的释放节奏。3)2023 年公司推出股权激励计划,业绩的目标包括触发值和目标值两部分。其中触发值中对于 2023-2025 年营业收入要求为 20、28、40 亿元,复合增长率达证券研究报告证券研究报告 27/37 请务必仔细阅读正文后的83、所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 37.3%,对于归母净利润的要求为 2.6、3.6、5.4 亿元,复合增长率为 30.0%;目标值中对于 2024-2025 年营业收入要求为 24、36、45 亿元,复合增长率达 42.8%,对于归母净利润的要求为 3.2、4.8、6.3 亿元,复合增长率为 36.9%。关注公司各个年度增长目标的实现情况,长期股权目标助力公司核心人才与技术的不断积累。4.3.茂莱光学茂莱光学(1)公司是国内领先精密光学综合解决方案提供商。)公司是国内领先精密光学综合解决方案提供商。公司成立于 1999 年,深耕精密光学产业。1999 年至84、 2005 年味公司成立初期,产品主要为定制类光学器件,主要应用领域为工业测量和生命科学。2005 年至 2011 年,公司将产品线扩大到了光学镜头和光学器件,2012 年就已经具备深紫外到远红外谱段/非球面/超精密加工/大口径加工能力,2015 年完全自主研发测量设备。2019 年至今布局自动驾驶、虚拟现实等新兴科技领域。截至目前,公司已经具有高精度光学器件制造能力,光学镜头及系统的主动调装能力以及覆盖紫外到红外谱段的光学设计能力,产品被广泛运用于半导体、生命科学、生物识别、VR 等领域。图图54 茂莱光学发展历程茂莱光学发展历程 资料来源:茂莱光学官网,东海证券研究所 (2)公司业绩)公司85、业绩多年来多年来保持高速增长保持高速增长,2024 年由于年由于生命科学与生命科学与 VR/AR 检测出现较大下检测出现较大下滑,滑,公司的营收与净利润受到短期冲击公司的营收与净利润受到短期冲击,但半导体与无人驾驶业务均实现较大增长,但半导体与无人驾驶业务均实现较大增长。2023 年公司营业收入达到了 4.58 亿元,2018 年-2023 年的 CAGR 达到了 20.01%,这段时间高增长的主要原因为半导体设备、VR 检测设备、生命科学等新兴领域下游市场需求的不断提升以及新客户的加速导入。盈利方面,公司 2023 年归母净利润达 0.47 亿元。2024H1 来看,主要是受到行业周期下行以86、及大客户的去库存影响,上半年整体业绩表现一般,但结构来看半导体与无人驾驶业务依然保持高速增长。证券研究报告证券研究报告 28/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图55 2018-2024H1 茂莱光学营收及增速(亿元,茂莱光学营收及增速(亿元,%)图图56 2018-2024H1 茂莱光学利润端情况(亿元,茂莱光学利润端情况(亿元,%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (3)毛利率与净利率)毛利率与净利率短期短期均出现一定下降趋势均出现一定下降趋势,但毛利率的绝对值也依然保持在,但毛利率的绝87、对值也依然保持在 45%以上的高位。以上的高位。2021-2023 年主营业务毛利率有所下降,主要原因为原材料价格的上涨以及产品结构变化综合影响,公司毛利率短期有所调整,但长期依然保持较高分位。公司研发费用率维持相对高位,主要原因为公司员工数目一直保持高位,职工薪酬不断提升;另一方面,公司高度重视研发投入,研发所用材料成本不断提高,造成研发费用率较高,注重人才培养以及研发投入促进公司在技术领域多点开花。图图57 2018-2024H1 茂莱光学毛利率与净利率情况(茂莱光学毛利率与净利率情况(%)图图58 2018-2024H1 茂莱光学费用率情况(茂莱光学费用率情况(%)资料来源:Wind,东88、海证券研究所 资料来源:Wind,东海证券研究所 (4)公司公司精密光学元件贡献精密光学元件贡献 50%营收营收,光学系统收入占比在不断提升,光学系统收入占比在不断提升。公司主营业务为精密光学器件、先进光学系统以及高端光学镜头。2023 年精密光学器件、先进光学系统以及高端光学镜头营收占比分别为 50%、29%、19%。公司以精密光学元件发家,精密光学元件业务为公司基本盘,自 2018 年以来精密光学元件始终贡献一半左右的营业收入。光学系统占比在 2019 年的营收占比仅为 14%,但 2023 年营收占比已达到 29%,光学系统收入占比在不断提升。证券研究报告证券研究报告 29/37 请务必89、仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图59 2019-2024H1 茂莱茂莱光学营收结构(亿元)光学营收结构(亿元)图图60 2023 年茂莱光学业务占比情况(年茂莱光学业务占比情况(%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (5)公司)公司未来未来主要关注因素:主要关注因素:1)公司在曝光物镜方面已取得实际技术突破,曝光物镜用光学器件最大口径可达直径 300mm,突破常规透镜尺寸和精度的指标要求,面形精度 PV 小于 30nm,重点关注公司技术突破后下游客户的采购意愿。2)公司募集资金 4 亿元,用于产能扩90、充和产品研发。其中,2.25 亿元用于“高端精密光学产品生产项目”、0.79 亿元用于“高端精密光学产业研发项目”、0.96 亿元用于补充流动资金。关注建成投产后有望进一步扩大主营业务的生产规模。3)公司已拥有 5 项核心技术,相关专利数达到 112 项。其五项专利技术分别为精密光学镀膜技术、高面形超光滑抛光技术、高精度光学胶合技术、光学镜头及系统设计技术、低应力高精度装配技术。公司长期核心技术不断完善,特别是在半导体市场的技术积累不断累积,关注公司在半导体市场的收入持续高增长。图图61 公司公司 2024H1 产品下游应用及主要客户产品下游应用及主要客户 图图62 2024H1 公司产品在下91、游市场分布公司产品在下游市场分布 资料来源:公司公告,东海证券研究所 资料来源:Wind,东海证券研究所 4.4.福晶科技福晶科技(1)公司深耕非线性光学晶体三十余年,已从光学晶体拓展至光学元器件领域。)公司深耕非线性光学晶体三十余年,已从光学晶体拓展至光学元器件领域。福建福晶科技股份有限公司,1990 年由中国科学院福建物质结构研究所出资设立。成立之初公司即从中国科学院物构所获得 LBO 全球专利,1990 年获得 LBO 晶体专利,2008 年于深交所上市(股票代码 002222)。经过三十余年发展,公司已从生产制造各类光学晶体拓展至大功率隔离器、声光器件、电光器件等光学元器件领域。随着公92、司产品线不断丰富,下游应用也逐步拓宽,已拓展至光通信、AR/VR 以及汽车等领域。证券研究报告证券研究报告 30/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图63 福晶科技发展历程福晶科技发展历程 资料来源:福晶科技官网,东海证券研究所 (2)2018 年至年至 2024H1 公司公司营业收入稳定增长。营业收入稳定增长。2023 年公司营业收入 7.82 亿元,公司连续六年保持营业收入增长。主要原因为:1)公司不断拓展新业务,从最开始的光学晶体一直扩展至元器件领域,下游也从激光行业拓展至消费电子、汽车电子等领域;2)光学晶体领域护城河较93、高,市场维持稳定;3)公司最主要的下游之一激光行业近年来发展迅速,需求较大。公司的归母净利润近些年来表现相对平稳,短期也受到一定的行业冲击影响,但不改公司稳步发展的节奏。图图64 2018-2024H1 福晶科技营收及增速福晶科技营收及增速 图图65 2018-2024H1 福晶科技福晶科技归母净利润及增速归母净利润及增速 资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (3)公司)公司技术壁垒较高技术壁垒较高,毛利率,毛利率常年维持在常年维持在 50%以上。以上。公司连续 6 年毛利率稳定在 55%左右,主要原因为公司在光学晶体行业一直处于领先地位,非线性光学晶体产品94、毛利率维持在 70%-80%,激光晶体毛利率维持在 50%-60%,其他产品例如激光器件以及原件竞争较为激烈,毛利率在 40%左右。所以整体毛利率较为稳定,保持在 50%以上。公司各项费用率保持稳定,公司重视研发及人才管理相关投入,管理费用与研发费用稳居高位。主要原因为:1)公司为吸引外部技术人员并维护现有骨干技术人员待遇,激发技术人员创造力,公司为员工提供较高薪酬待遇,完善利润分享机制。2)公司一直以来秉承着技术为本的经营理念,常年保持高研发投入,促进技术改革创新,助力公司成为国际一流光学晶体公司。证券研究报告证券研究报告 31/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后95、的所有说明和声明 行业深度行业深度 图图66 2018-2022 年福晶科技毛利率与净利率情况(年福晶科技毛利率与净利率情况(%)图图67 2018-2022 年福晶科技费用率情况(年福晶科技费用率情况(%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (4)非线性光学晶体与激光)非线性光学晶体与激光器件器件为公司主要营收来源。为公司主要营收来源。公司主营业务为激光光学元器件、非线性光学晶体元器件、激光器件以及激光晶体元器件。根据 2023 年数据,非线性光学晶体以及激光光学元件为公司业务中营收份额最大,毛利率最高的两项业务。所以无论从盈利能力以及产品规模来看,非线性96、光学晶体以及激光光学元件都是公司最重要的两项业务。图图68 2019-2024H1 福晶科技营收结构(亿元)福晶科技营收结构(亿元)图图69 2023 年福晶科技业务占比情况(年福晶科技业务占比情况(%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (5)公司)公司未来重点未来重点关注因素:关注因素:1)关注至期光子聚焦于超精密光学元件,致力成为超精密光学标杆企业。2022 年公司设立子公司至期光子子公司,至期光子聚焦于纳米精度的超精密光学元件及复杂光机组件的研发生产,已建立了价值过亿元的先进光学产线,配置有非球面数控研磨抛光、离子束抛光、磁流变抛光等业内领先加工设备97、。关注子公司后期先进技术的商业应用进展。2)公司产能已经饱和,二期 D 楼建设项目或增加产能布局。公司的二期 D 楼改建工程目前处于政府审批流程中,主体工程概算 2.3 亿元左右,计划工期 2-3 年,公司现有厂房建筑面积约 4.5 万平方米,预计改扩建完成后能增加建筑面积近 4 万平方米。关注公司产能释放进展及客户采购意愿。3)公司已配备大量专门生长 Nd:YVO4 晶体的先进单晶炉。产能储备充分,有望持续扩大公司在激光晶体领域市占率,关注公司的订单业务情况。4.5.美埃科技美埃科技(1)公司拥有二十余年专业空气净化经验,半导体洁净领域核心供应商。)公司拥有二十余年专业空气净化经验,半导体洁98、净领域核心供应商。公司成立于2001 年,2002 年正式进入电子半导体洁净室领域,2006 年公司成为中芯国际供应商,供应 FFU、超高效过滤器、化学过滤器等产品。2009 年公司成为首个京东方选用替代国外产品的 FFU 供应商。2013 年“电袋合一”技术应用于中国首个商用 PM2.5 项目,全面进军证券研究报告证券研究报告 32/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 商业领域。2015 年公司首条国际过滤新材料 PTFE 生产线投产。2021 年公司获国家级专精特新“小巨人”企业称号,2022 年公司于科创板上市。经过 20 多99、年的积累,公司已经成长为国内空气过滤器和空气净化设备的重要供应商,在本土半导体洁净领域首屈一指,服务于Intel、AMD、中芯国际等多家国际知名半导体厂商。未来公司将以半导体领域为核心,积极开拓全球市场,完善多领域布局。图图70 美埃科技发展历程美埃科技发展历程 资料来源:美埃科技官网,东海证券研究所 (2)各领域需求持续保持增长,公司业绩迈入高速发展阶段。)各领域需求持续保持增长,公司业绩迈入高速发展阶段。2018-2024H1 公司营业收入均实现增长,公司产品销量实现大幅增长的原因是公司作为国内领先的工业领域洁净设备供应商,充分的受益于近年来医药、半导体等传统领域对于清洁需求的提高以及室内100、空气优化以及大气污染治理等新兴领域的出现。公司不仅仅收入规模稳步增长,归母净利润也实现了连续 6 年的正增长。公司营收与归母净利润均在行业周期下行阶段有较好表现,展示出公司较强的内生成长性。图图71 2018-2024H1 美埃科技营收及增速(亿元,美埃科技营收及增速(亿元,%)图图72 2018-2024H1 美埃科技利润端情况(亿元,美埃科技利润端情况(亿元,%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (3)公司毛利率小幅波动,净利率持续)公司毛利率小幅波动,净利率持续小幅小幅提升。提升。公司近 6 年来毛利率表现稳定在 25%-32%之间,公司毛利率短期受101、到行业周期或者生产扩产等影响,长期相对稳定,公司具备较好的风险应对能力。公司未来毛利率水平主要受到客户价格波动、扩产后的规模效应、扩产的成本折旧、海外销售占比等因素影响。公司净利率近五年来稳步提升,主要原因为公司运营效率增加,销售、管理等费用率不断下降。费用率持续优化、研发投入领先同业夯实基础,公司的期间费用持续优化。证券研究报告证券研究报告 33/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图73 2018-2024H1 美埃科技美埃科技毛利率与净利率情况(毛利率与净利率情况(%)图图74 2018-2024H1 美埃科技费用率情况(美102、埃科技费用率情况(%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (4)风机过滤系统以及过滤器为公司主力产品,业务占比约为八成左右。)风机过滤系统以及过滤器为公司主力产品,业务占比约为八成左右。公司主力产品可分为过滤器、风机过滤系统、空气净化设备以及其他四类,2021 年以前公司业务拆分为4 个部分,2022 年以后公司主营业务只拆分为风机过滤单元及过滤器,风机过滤系统和过滤器为公司核心产品,2023 年实现营业收入中风机过滤系统和过滤器占据 84%的比例。图图75 2019-2023 年年美埃科技美埃科技营收结构(亿元)营收结构(亿元)图图76 2023 年年美埃103、科技美埃科技业务占比情况(业务占比情况(%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (5)公司)公司未来未来主要关注因素:主要关注因素:1)关注公司产能规划,公司的产能释放节奏、客户的采购意愿成为了能否将产能转化为收入的关键。公司在新建产能,2024 年 6 月份看,固定资产 3.09 亿元,同比增长 106%,在建工程 1.17 亿元,同比增长 10.4%。公司产能持续扩建,关注后期释放节奏。2)公司 2024H1 在无人驾驶领域占比有 4.87%,同比增长 46.12%,关注公司在该领域的高速成长持续性。3)公司积极布局 AR/VR 检测,关注该市场高速增长104、的机遇。4.6.新莱应材新莱应材(1)公司不断推进半导体行业布局,技术实力全球领先。)公司不断推进半导体行业布局,技术实力全球领先。公司于 1991 年在台湾成立,2011 年在深交所上市,后又于 2016-2018 年收购多家公司完善产品线,2019 年成为美商应材合格供应商。公司最早以做真空阀门为主,后面逐渐延伸到真空反应腔体、特气运输阀门、气体管道等。2019年,公司募资2.8亿元用于“半导体行业超高洁净管阀件生产线技改项目”,配置 SS316L EP 管道/配件等用于超高纯大宗气体、特气与大宗气体的运输,由于半导体管道阀门的高技术壁垒,该领域常年被 Swagelok、VAT、Valex105、、Kuze 等海外公司垄断。新莱证券研究报告证券研究报告 34/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 应材作为专注于超净管阀近三十年,从产品各项技术指标来看,部分产品技术实力已追平国际大厂,达到全球领先水平。图图77 新莱应材发展历程新莱应材发展历程 资料来源:新莱应材官网,东海证券研究所 (2)2018 年至年至 2024H1 公司公司营业收入营业收入长期保持增长,公司盈利受到行业周期波动影长期保持增长,公司盈利受到行业周期波动影响响。公司营业收入从2018年的11.75亿元上涨到2023年的27.11亿元,CAGR约为18.2%。106、公司在过去发展阶段,不断进行渠道扩展,大量资金投入于建立客户关系和技术研发上,2019 年后成为美商应材合格供应商,营业收入大幅上升。公司的归母净利润受到行业周期波动影响较为显著,但依然保持较为合理的盈利能力,公司有较强的抗周期风险能力。图图78 2018-2024H1 新莱应材营收及增速(亿元,新莱应材营收及增速(亿元,%)图图79 2018-2024H1 新莱应材利润端情况(亿元,新莱应材利润端情况(亿元,%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (3)公司技术全球领先盈利能力稳定,三费逐年下降净利率逐年上升。)公司技术全球领先盈利能力稳定,三费逐年下降净107、利率逐年上升。公司作为半导体洁净行业的龙头,技术常年位居全球领先地位,盈利能力稳定,毛利率维持在 25%左右。随着公司不断优化产品结构以及扩大生产规模,在研发投入不减少的情况下,三费逐年降低,但研发费用保持相对稳定。公司早期阶段拓展销售渠道,成功的成为了国内少有的能够为美国厂商供货的供应商,在有稳定渠道后,只需维持渠道稳定,所以销售费用持续下降。而公司作为全球技术领先厂商,研发费用率一直保持稳定,公司大力投入技术研发,维护公司技术方面的护城河。证券研究报告证券研究报告 35/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 图图80 2018-2108、024H1 新莱应材毛利率与净利率情况(新莱应材毛利率与净利率情况(%)图图81 2018-2024H1 新莱应材费用率情况(新莱应材费用率情况(%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所 (4)食品设备行业为公司主要营收来源,)食品设备行业为公司主要营收来源,泛半导体泛半导体行业行业收入长期保持增长趋势收入长期保持增长趋势。公司主要业务有三大类,分别是食品设备、泛半导体设备和医药设备。公司核心技术为高纯超洁净不锈钢材料的加工技术,并围绕该技术生产高洁净流体管路系统和超高真空系统的关键零部件。公司半导体零部件产品涵盖真空阀门、管道管件、反应腔体、气体钢瓶等多个品109、类,可以满足半导体设备中洁净气体、特殊气体和计量精度等特殊工艺以及真空度和洁净度的要求。目前阶段,食品设备为公司收入主要来源,在 2023 年收入占比高达 63%,泛半导体行业收入占比高达 24%,泛半导体的收入规模长期保持增长趋势。图图82 2018-2024H1 新莱应材营收结构(亿元)新莱应材营收结构(亿元)图图83 2023 年新莱应材业务占比情况(年新莱应材业务占比情况(%)资料来源:Wind,东海证券研究所 资料来源:Wind,东海证券研究所(5)公司)公司未来未来主要关注主要关注要素要素:1)关注公司在半导体领域技术不断迭代,客户接受意愿。公司产品在半导体洁净领域,高纯、超高纯产110、品真空度已达到超高真空 10-12 Torr,半导体行业对于真空度的要求大多在 10-6 10-10 Torr 的范围内,关注公司的超高质量技术在客户端的认可与接受程度。2)关注公司在食品领域的业务拓展。公司在食品饮料领域已累计销售无菌纸盒灌装机超过 800 台,其中无菌系统是国内首家通过 Mrieux Nutrisciences 国际检测机构认证。3)关注公司在医药领域的突破。在医药类领域,成为亚洲首家通过ASMEBPE 的管道管件双认证的公司,关注公司客户对不断进步的技术接受度及订单。证券研究报告证券研究报告 36/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和111、声明 行业深度行业深度 5.风险提示风险提示 1)光刻机研发进度慢于预期的风险:)光刻机研发进度慢于预期的风险:光刻机工艺极其复杂,行业壁垒比较高,涉及到光学、电子学甚至算法领域等高难度技术。虽然国产企业已经在光刻机领域取得了比较重大的突破,但是距离真正产业能够落地还具有很多的不确定性,需要较长的时间验证并不断完善,因此国产光刻机的研发量产不及预期,对相关零组件企业的经营也将有一定负面影响。2)下游需求复苏不及预期的风险:)下游需求复苏不及预期的风险:光刻机的设备需求与下游半导体市场息息相关,按照周期性,行业周期下行节奏难以预测,全球各大晶圆厂削减产能和资本开支,国内晶圆厂的产能利用率也出现下112、滑,晶圆扩产速度减慢,对半导体设备的需求也将减少,从而导致产业链的价格下跌、库存累积的风险。3)海外贸易规则的变化海外贸易规则的变化风险:风险:以美国为首的海外经济体可能对中国大陆施加半导体设备与零组件相关的贸易规则,一旦核心零组件的缺失,无论是国内设备整机厂还是海外的整机厂均会受到一定的供应链风险,这对光刻机整机及零组件企业或将产生一定的经营风险。证券研究报告证券研究报告 37/37 请务必仔细阅读正文后的所有说明和声明请务必仔细阅读正文后的所有说明和声明 行业深度行业深度 一、评级说明一、评级说明 评级评级 说明说明 市场指数评级 看多 未来 6 个月内沪深 300 指数上升幅度达到或超过113、 20%看平 未来 6 个月内沪深 300 指数波动幅度在-20%20%之间 看空 未来 6 个月内沪深 300 指数下跌幅度达到或超过 20%行业指数评级 超配 未来 6 个月内行业指数相对强于沪深 300 指数达到或超过 10%标配 未来 6 个月内行业指数相对沪深 300 指数在-10%10%之间 低配 未来 6 个月内行业指数相对弱于沪深 300 指数达到或超过 10%公司股票评级 买入 未来 6 个月内股价相对强于沪深 300 指数达到或超过 15%增持 未来 6 个月内股价相对强于沪深 300 指数在 5%15%之间 中性 未来 6 个月内股价相对沪深 300 指数在-5%5%之间114、 减持 未来 6 个月内股价相对弱于沪深 300 指数 5%15%之间 卖出 未来 6 个月内股价相对弱于沪深 300 指数达到或超过 15%二、分析师声明:二、分析师声明:本报告署名分析师具有中国证券业协会授予的证券投资咨询执业资格并注册为证券分析师,具备专业胜任能力,保证以专业严谨的研究方法和分析逻辑,采用合法合规的数据信息,审慎提出研究结论,独立、客观地出具本报告。本报告中准确反映了署名分析师的个人研究观点和结论,不受任何第三方的授意或影响,其薪酬的任何组成部分无论是在过去、现在及将来,均与其在本报告中所表述的具体建议或观点无任何直接或间接的关系。署名分析师本人及直系亲属与本报告中涉及的115、内容不存在任何利益关系。三、免责声明:三、免责声明:本报告基于本公司研究所及研究人员认为合法合规的公开资料或实地调研的资料,但对这些信息的真实性、准确性和完整性不做任何保证。本报告仅反映研究人员个人出具本报告当时的分析和判断,并不代表东海证券股份有限公司,或任何其附属或联营公司的立场,本公司可能发表其他与本报告所载资料不一致及有不同结论的报告。本报告可能因时间等因素的变化而变化从而导致与事实不完全一致,敬请关注本公司就同一主题所出具的相关后续研究报告及评论文章。在法律允许的情况下,本公司的关联机构可能会持有报告中涉及的公司所发行的证券并进行交易,并可能为这些公司正在提供或争取提供多种金融服务。116、本报告仅供“东海证券股份有限公司”客户、员工及经本公司许可的机构与个人阅读和参考。在任何情况下,本报告中的信息和意见均不构成对任何机构和个人的投资建议,任何形式的保证证券投资收益或者分担证券投资损失的书面或口头承诺均为无效,本公司亦不对任何人因使用本报告中的任何内容所引致的任何损失负任何责任。本公司客户如有任何疑问应当咨询独立财务顾问并独自进行投资判断。本报告版权归“东海证券股份有限公司”所有,未经本公司书面授权,任何人不得对本报告进行任何形式的翻版、复制、刊登、发表或者引用。四、资质声明:四、资质声明:东海证券股份有限公司是经中国证监会核准的合法证券经营机构,已经具备证券投资咨询业务资格。我们欢迎社会监督并提醒广大投资者,参与证券相关活动应当审慎选择具有相当资质的证券经营机构,注意防范非法证券活动。上海上海 东海证券研究所东海证券研究所 地址:上海市浦东新区东方路1928号 东海证券大厦 座机:(8621)20333275 手机:18221959689 传真:(8621)50585608 邮编:200215 北京北京 东海证券研究所东海证券研究所 地址:北京市西三环北路87号国际财经中心D座15F 座机:(8610)59707105 手机:18221959689 传真:(8610)59707100 邮编:100089

    下载